EDA在电子综合实习教学中的应用

2024-05-10

EDA在电子综合实习教学中的应用(精选6篇)

篇1:EDA在电子综合实习教学中的应用

EDA在电子综合实习教学中的应用

结合电子综合实习教学的进程,采用电子电路设计仿真、PCB制作和实物调试调制相结合的手段来安排相关实习内容,用以培养学生的`动手操作能力,让学生掌握电子产品的设计、仿真和制造的基本操作技能及调试检测方法,促使学生养成专业工程意识.

作 者:柏杨 刘敬露 罗辑 汪科 BAI Yang LIU Jing-lu LUO Ji WANG Ke 作者单位:重庆工学院,工程训练中心,重庆,400054刊 名:重庆工学院学报(自然科学版) ISTIC英文刊名:JOURNAL OF CHONGQING INSTITUTE OF TECHNOLOGY年,卷(期):21(10)分类号:G712关键词:电子综合实习电子设计自动化技术 电路印刷板制板

篇2:EDA在电子综合实习教学中的应用

EDA技术在《电子技术基础》教学中的实践与应用

一、问题的.提出 电子技术是一门实践性很强的课程,现今职业学校机电、电子、通信、计算机以及相关专业均开设了该课程,同时配合理论教学还开设了实验课用以提高教学效果.电子技术实验,大部分学校采用各类实验箱,实验过程中学生要完成电路搭建、结果验证.

作 者:张映盛 作者单位:溧阳市职业教育中心刊 名:成才之路英文刊名:THE ROAD TO SUCCESS年,卷(期):“”(12)分类号:G71关键词:

篇3:EDA在电子综合实习教学中的应用

关键词:EDA,EWB,PROTEL,电子,实验实习

随着集成电路技术的高速发展和计算机技术深入到各个领域, 电子电路的计算机辅助设计与分析技术 (Electronic Design Automation, EDA) 成为了电子电路系统分析和设计的有力工具, 并已发展成为电子学领域的重要分支, 使传统的定量估算和电路实验的设计方法产生了根本变化。由于EDA仿真技术能够提供全功能、全频带的分析仪器平台, 实现了系统结构和电路特性的模拟以及参数化设计, 这项技术已成为当代电子工程专业设计人员必备的重要技能。作为培养一线技术工人和技能型人才的中等职业学校, 已经具备了较好的计算机实验室, 在电子线路教学中引入EDA技术, 既可行又有着重大的意义。

一 中职传统的电子实验实习教学模式的缺点

传统的电子实验实习教学模式 (见图1) , 有以下五个方面的缺点:

1. 学生主动性不强, 实验效果较差

老师一般在演示前准备好电路, 然后在课堂上只是向学生进行展示, 学生一般是被动地接受, 只有少部分坐前排的学生看到了电路的组成, 大部分学生看到的只是现象, 得到的只是结果, 所以对演示实验不感兴趣, 课堂效率很低。而在实验室做实验时, 一般只是依葫芦画瓢, 对为什么这样接线路、线路实现的功能和线路预期的测量结果都不知道, 一旦出现了异常现象则无法解决, 所以学生感到很困难, 越来越不想学。

2. 演示实验

演示实验, 会因不可预测的因素导致失败。

3. 实验与实习衔接不紧密, 相互脱节

学生尽管看过演示实验或动手做过实验, 但在学期结束时, 如果让他们自己选择元器件、自己设计电路、实现某一功能时, 将会遇到更大的困难, 他们会感觉以前学的理论知识和做的实验没有用。

4. 无法满足学生自我设计电路的需要

一般中等职业学校的实验实习条件比较简陋, 场地紧张, 部分实验实习器件和设备不足, 不能满足教学和实验实习要求, 所以很多实验实习无法进行。

5. 易造成实验实习器件和设备损坏

实验课中, 学生容易造成实验实习器件和设备损坏。

二 引入EDA技术的中职电子实验实习教学模式的优点

引入EDA技术的中职电子实验实习教学模式 (见图2) , 有以下五方面优点:

1. 能提高学生参与课堂的主动性、积极性

首先, 教师在现场利用EWB等EDA软件, 从选择器件开始, 到连线, 然后再选择适当的虚拟仪器仪表进行仿真。整个过程一步一步地完成, 学生感觉亲自在做实验, 有一种成功的体验。

其次, 学生可以提出电路的修改意见, 教师立即修改电路, 让学生直接看到结果, 并进行分析, 有利于培养学生理论联系实际的能力。

此外, 对于一些抽象、繁杂的变化过程可以借助EDA工具进行仿真, 用波形、图形等形式生动形象地进行展现, 能够帮助学生更好地理解所学知识, 提高教学质量。

2. 用EDA软件演示实验会得到理想的结果

学生动手参与, 教师辅助, 用EDA软件演示实验会得到理想的结果。

3. 可节省实验经费、提高实验效率

将EDA技术引入到电子电路实验教学中, 将有效解决实验室在元器件的品种、规格和数量上不足的限制, 解决实验室仪器仪表不足的问题, 解决实验中易损坏仪器、设备、元器件等不利因素, 而且可以节省实验经费, 缩短实验时间, 提高实验效率。

4. 可培养学生分析问题、解决问题的能力

电子电路课程设计是教学体系中的重要环节, 借助EDA设计工具, 可以开展比较复杂的高性能的电子系统设计, 利用实验开发系统可以方便地进行硬件验证, 在这个过程中将极大地提高学生的专业学习兴趣, 增强其综合分析问题、解决问题的能力, 提高其实验技能, 启发学生的创新思维, 提升其专业素养, 培养出具有市场竞争力的高质量的专业人才。

5. 将实习与实验有效结合, 有助于突破实验室单一模式的限制

学生先在计算机上进行电路的设计、仿真, 这使他们不仅知道如何选择元器件、如何连线、如何检测, 而且知道什么样的结果是正确的, 什么样的结果是不正确的, 且不正确的原因是什么;然后, 学生再到电子实验实习室, 在面板或自制的印制板上进行硬件的物理连接;最后, 学生进行测量, 并比较测量得到的结果与仿真结果的差异, 从而验证结果的准确性。这样有利于更好地利用EDA软件进行电路设计。

三 EDA软件的选择

现在常用的EDA软件工具主要有EWB、PSPICE、PROTEL99、Max+PlusⅡ、ORCAD、Multisim等。Electronics Workbench (简称EWB) 是IIT公司早于上世纪80年代后期推出的用于电路仿真与设计的EDA软件, 它具有系统高度集成、界面直观、操作方便的优点;具有数字、模拟及数字/模拟混合电路的仿真能力;电路分析手段完备, 除了用7种常用的测试仪表来对仿真电路进行测试之外, 还提供了电路的直流工作点分析、瞬态分析、傅里叶分析、噪声和失真分析等14种常用的电路仿真分析方法。所以选择EWB软件进行电路图设计与仿真非常适合中等职业学校的学生。但用EWB软件制作PCB板不是很方便。而PROTEL 99SE在制作PCB板方面, 具有手动布线和自动布线的强大功能, 且可以直接通过印制板厂生产出PCB板。所以选择PROTEL 99软件可以弥补EWB软件的不足, 非常有必要。

四 实践举例 (以分立串联反馈式稳压电源为例)

第一步, 用EWB软件设计原理图, 并连接仿真仪器仪表, 如图3所示。

第二步, 进行仿真, 仿真结果如图4所示。

第三步, 导出PROTEL网络表文件, 用PROTEL 99 SE设计PCB印制板图, 如图5所示。

第四步, 电路组装, 仪器仪表测量。选择、安装、焊接元器件, 将电路组装成实物, 用仪器仪表测量实物电路的性能, 评估电路实际性能, 并与仿真结果比较, 以决定电路是否需要重新设计。

五 结束语

引入EDA软件对传统的实验实习教学模式进行改革。经过一段时间的探索与实践, 结果表明在电子实验实习中, 使用EDA软件先进行实验实习电路仿真, 然后将某些典型电路制成PCB印制板, 再通过焊接等技术进行硬件实现, 采用“软硬兼施”的方式, 不仅能够使学生更加形象地理解、掌握所学的理论知识, 还能够培养学生的实际动手能力, 取得了较好的学以致用的教学效果, 培养了学生的创造能力和综合科技素质。

参考文献

[1]李东生.EDA仿真与虚拟仪器技术[M].北京:高等教育出版社, 2004

[2]殷瑞样.EIectronics Workbench使用指南与电子电工技术EDA实验[M].广州:华南理工大学出版社, 1998

篇4:EDA在电子综合实习教学中的应用

关键词:EDA 电子系统 应用

随着EDA技术的不断发展,其应用领域出现不断扩大和深入的趋势,在电子信息、通信、自动控制及计算机应用等领域,EDA技术的重要性日益突出。EDA技术为现代电子理论和设计的表达与实现提供了可能性。受EDA技术的影响和制约,进一步导致设计者的工作局限于软件方式,也就是通过硬件描述语言,以及EDA软件等进一步实现系统的硬件功能。

1 EDA技术概述

1.1 EDA技术概述

EDA是Electronic Design Automation的简写,在现代电子技术中,EDA是核心,在EDA工具软件平台上,借助功能强大的计算机,自动完成逻辑编译、逻辑化简、结构综合,以及逻辑优化和仿真测试等,进而在一定程度上完成电子线路系统功能。在现代高科技电子产品的设计生产中,现代电子技术和微电子技术是相互促进、相互发展的两个技术环节,将这两者进行结合就构成EAD技术。

1.2 EDA技术实现目标

借助EDA技术对电子系统进行设计,设计、实现专用集成电路ASIC是其最后目标,作为最终的物理平台,通过EDA技术,ASIC集中容纳了用户的既定功能和技术指标。ASIC就是独立的集成电路器件,该器件具有专门用途和特定的功能,实现ASIC是EDA技术的最终目标。通常情况下,其实现途径主要包括:①超大规模可编程逻辑器件;②半定制或全定制ASIC;③混合ASIC。

1.3 EDA技术中基于VHDL的自顶向下设计方法

传统的电子设计技术通常是自底向上的,在这个设计过程的任一时刻,最底层元器件出现任何变动,都可能使前面的工作前功尽弃,工作又得重新开始。因此,自顶向下的设计方法是EDA技术的首选方法,通常情况下,这种方式是开发ASIC、FPGA的主要手段。在应用EDA技术的过程中,在整个设计流程中,自顶向下的设计方法是逐步求精的过程。对于整个系统来说,按照自顶向下的设计方法,可以将其分解为不同的模块,同时对每个独立的模块指派相应的工作小组。

1.4 EDA技术的优势

在EDA技术中,利用HDL进一步描述数字技术系统的抽象行为与功能,以及具体的内部线路结果,进而在一定程度上对电子设计的不同阶段、不同层次进行模拟验证,为设计过程的正确性奠定基础和提供保证,进而降低设计成本,缩短设计周期。

2 基于EDA技术的电子线路设计实例

EDA在步进电机细分驱动控制设计中的应用

2.1 步进电机细分驱动原理

对于步进电机来说,通常情况下,其驱动往往依靠给步进电机的各相励磁绕组轮流通相应的电流,进而在一定程度上实现步进电机内部磁场合成方向的变化,进一步实现步进电机的转动。

2.2 步距细分的系统构成

PWM计数器、波形ROM地址计数器、PWM波形ROM存储器、比较器、功放电路等共同构成该系统,如图1所示:

图1

2.3 细分电流信号的实现

在比较器的A端添加从LPM_ROM输出的数据,在比较器的B端添加PWM计数器的计数值,与ROM数据相比较,当计数值小于时,在这种情况下比较器输出低电平,反之输出高电平。

2.4 细分驱动性能的改善

试验测定显示,在线性电流的驱动下,步进电机转子的微步进是不均匀的,呈现出明显的周期性波动。

2.5 硬件验证

LPM_ROM表总长为32个字节,根据控制脉冲与方向,地址计数器输出LPM_ROM地址,进而对片内预先写好的数据进行选择,同时将其传送给PWM电路,进而在一定程度上实现不同布局细分数及方向控制。

3 小结

进入21世纪后,EDA技术在仿真、设计两方面具有支持标准硬件描述语言的功能,随着EDA软件的不断更新,其功能越加强大,进而在一定程度上推动电子EDA技术的快速发展。对于电子设计领域、电子产业界来说,发展EDA技术将是一场重大的技术革命,同时对电子类课程的教学、科研等提出了更高的要求。在我国,由于EDA技术尚未普及,在这种情况下,掌握、普及EDA技术,对于我国电子技术的发展具有深远的意义。

参考文献:

[1]刘梦亭.基于FPGA控制的步进电机细分驱动系统[D].广东工业大学,2008(05).

[2]章小印,欧阳慧平.EDA技术在步进电动机驱动中的应用[J].微特电机,2006(10).

[3]邹道生.EDA技术在步进电机驱动中的应用[J].江西师范大学学报(自然科学版),2006(07).

篇5:EDA在电子综合实习教学中的应用

EDA技术在数字电路教学中的应用

采用EDA技术设计数字电路与传统的电子技术设计方法相比,大大地缩短了数字电路产品的研制周期,提高了产品的可靠性,降低了成本.日趋进步和完善的EDA技术正在逐步取代传统的.电子设计方法而成为现代电子设计技术的核心,它是电子技术类课程教学改革的重要方向.本文旨在提高学生的动手能力,特别是有利于学生对数字电路EDA整体技术的认识与掌握.由于教学目标明确,教学内容与教学手段符合高职学生实际,因此学生学习的主动性、积极性很高,教学效果在专业课中比较突出.

作 者:陈立静 Chen Lijing 作者单位:山东劳动职业技术学院电气及自动化系,山东,济南,250022刊 名:中国电力教育英文刊名:CHINA ELECTRIC POWER EDUCATION年,卷(期):“”(3)分类号:G71关键词:EDA技术 数字电路 教学创新

篇6:EDA在电子综合实习教学中的应用

周云波 刘小群

宝鸡文理学院物理与信息技术系

摘要:介绍了常用的EDA软件及开放性实验的实验教学方法,并通过实例阐述了EDA技术在开放性实验中的应用。关键词:EDA ;开放性实验;仿真

为了充分利用实验室资源,让学生能够自主选择实验的内容与时间,从而激发学生做实验的兴趣,提高学生思考问题、分析问题、解决问题的能力,近几年各高校都开设了开放实验。我们从2006年也开设了开放实验,开放实验由于学生可以自选题目,这对实验室的设备提出了很高的要求,但利用EDA设计实验电路,仿真实验结果,克服了实验设备不足的矛盾。“EDA”是Electronic Design Automation(电子设计自动化)的简写,是能够帮助人们设计电子电路或系统的软件工具。EDA是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程。目前进入我国并具有广泛影响的EDA软件有:muhisim7、OW_AD、Protel、Viewlogio、Mentor、Synopsys、PCBW Id、Cadence、MicmSim等等,这些软件各具特色,大体分为芯片级设计工具、电路板级设计工具、可编程逻辑器件开发工具和电路仿真工具等几类。利用EDA工具,可以从概念,算法、协议开始设计电子系统,从电路设计,性能分析直到IC版图或PCB版图生成的全过程均可在计算机上自动完成。EDA代表了当今电子设计技术的最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下的设计方法,对整个系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进的开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片的适配编译和编程下载,这被称为数字逻辑电路的高层次设计方法。下面以一个七段数码管的驱动为例,说明EDA设计的实验方法。1.实验教学方法

本实验是作为本科生的选修课,对全校电信、电子电气、自动化专业同学开放。做为模拟电路、数字电路、通讯原理、EDA软件等理论课的后续课程,学生具备了一定的相关理论知识。实验目标的实现都要经过一番努力才能达到,因此在实验的安排上不能简单地限定实验时间和固定内容,必须采取全面开放式和自主式的实验教学方法。实验进程包括初始阶段和提高阶段。

(1)初始阶段 要求教师先讲解操作步骤,给出程序清单,使实验按着先简单后复杂的顺序进行。(2)提高阶段 要求同学完成较为复杂的应用和算法编程,并独立完成实验内容。对于能力强的同学可以根据自己的兴趣来完成自己的题目。研究生可以结合自己的课题,利用实验室的资源完成课程的内容,最后写出一份完整的总结报告。

(3开放实验时,采用仿真实验和仿真设计与硬件实验相结合的方法,EDA的元件库提供了比实验室种类齐全的仪器、仪表和几千种元器件可供使用,利用图形方式创建电路,软件界面直观、操作使用方便,且容量极其丰富的元器件库,还可根据器件的发展随时扩充,使用时可直接调用和修改元件及参数。学生可用EDA先在计算机上模拟设计所选题目的内容,由于仿真设计元件连线简单,参数修改方便,任何一种设计方案都可以尝试,在对硬件电路设计方案进行仿真过程中,验证设计方案正确性与否,从而得到更加合理可行的实现方案。同时根据仿真结果,可对设计方案进行修改和调整,分析各元件参数对整个数字电路的作用与影响,利用仿真电子仪器仪表,按照设计可完成常规的瞬态分析、稳态分析和时域分析等多种电路分析方法,辅助学生完成对电路原理到电路硬件设计的分析,可以直接观察各子系统的波形及整个电路的实验结果,如果有错误,系统软件会有相应的提示。这样经过反复比较、反复分析、反复修改的过程,最后再用硬件完成开放实验的设计。实验时利用EDA仿真设计改变了传统的基于电路板的设计方法,提高了设计效率,掌握了用计算机软件设计、应用的方法,提高了实验的安全性、自诊断性、直观性、综合性、重组性和信息化等特点。根据实验模块间纵向发展和横向联系,进行组合调整,建立网络化的实践教学平台,每个实验室配备计算机及网络、常用仪器设备、相关实验装置等,充分提高了实验室的资源利用,实现理论与实践的紧密结合。2.实验实例:

设计一个七段数码管的译码器并下载到ispLSI1016中,验证其功能是否正确。输入在A,B,C三个按钮开关的控 制下,经ispGDS14,到译码器使输出a1,b1,c1,d1,e1,f1,g1驱动七段数码管为相应的数字。VHDL源程序:library ieee;

use ieee.std_logic_1164.all;entity liu1is port(datain:in std_logic_vector(2 downto 0);

led:out std_logic_vector(6 downto 0));end;architecture liu1_ architecture of liu1 is begin process(datain)begin case datain is

when “000”=>led<=“0111111”;

when “001”=>led<=“0000110”;

when “010”=>led<=“1011011”;

when “011”=>led<=“1001111”;

when “100”=>led<=“1100110”;

when “101”=>led<=“1101101”;

when “110”=>led<=“1111101”;

when “111”=>led<=“0000111”;

when others=>led<=“1111111”;end case;end process;end arch;测试向量程序: module z1

c,x=.c.,.x.;datain_0_,datain_1_,datain_2_ PIN;led_0_,led_1_,led_2_,led_3_,led_4_,led_5_,led_6_ PIN;TEST_VECTORS([datain_0_,datain_1_,datain_2_]->[led_0_,led_1_,led_2_,led_3_,led_4_, led_5_,led_6_])[0,0,0]->[x,x,x,x,x,x,x];[0,0,1]->[x,x,x,x,x,x,x];[0,1,0]->[x,x,x,x,x,x,x];[0,1,1]->[x,x,x,x,x,x,x];[1,0,0]->[x,x,x,x,x,x,x];[1,0,1]->[x,x,x,x,x,x,x];[1,1,0]->[x,x,x,x,x,x,x] [1,1,1]->[x,x,x,x,x,x,x];END 2 仿真结果: 程序下载:

写可编程数字开关器件ispGDS14的设计源文件(在TC下或在MS-DOS EDIT下)

device = ispgds14 PIN 6 = PIN 12 PIN 8 = PIN 16 PIN 9 = PIN 11

存,存时起名 *g.gds ,并注意路经,看PDSGDS存在那里。

用ispGDS的汇编GASM对*g.gds进行编译,即在C:PDSGDS下,打入 GASM *g 回车,则自动生成下载文件 *g.jed 输出引脚

I/O1(16)→a1 I/O6(21)→b1 I/O3(18)→c1

I/O4(19)→d1 I/O5(20)→e1 I/O2(17)→f1 I/O7(22)→g1 输入引脚

I/O28(7)→A I/O29(8)→B I/O30(9)→C 下载

在Design→Down load 下,或双击 IDCD 注意,实验板上按键松开为“1” 下面我们介绍PDS上设计。双击PDS图标

3.结论

[参考文献]:

[1] 王锁萍.龚建荣等.电子设计教程.成都:电子科技大学出版社,2000.2 [2] 潘松,黄蛀生.EDA技术实用教程.北京:科学出版社.2002.10.[3] 曾繁泰,李冰,李晓林.EDA工程概论[M].北京,清华大学出版社,2002.[4] 蒋卓勤,邓玉元.Multisim2001及其在电子设计中的应用[M].西安:电子科技大学出版社,2003.[5] 徐志军等.大规模可编程逻辑器件及其应用[M].电子科技大学出版社.[6] 符兴昌.EDA技术在数字系统设计分析中的应用[J].微计算机信息, 2006, 5-2: 267-269

作者简介:周云波(1965~),女,高级实验师。

上一篇:看电影日记推荐优质下一篇:桥的遐想作文900字