简单数字电路课程设计

2022-12-21

第一篇:简单数字电路课程设计

数字电路课程设计——数字钟

四川工业科技学院 电子信息工程学院课程设计 专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书

一、课题名称:数字钟的设计

二、技术指标:

(1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。

三、要求:

(1)设画出总体设计框图,以说明数字钟由哪些相对独立的

功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出

方式,确保电路正确性。

指导教师:廖俊东 学生:蔡志荷

电子信息工程学院

2018年1月 10日

课程设计报告书评阅页

课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷

2018年1月 10日

指导教师评语:

考核成绩:指导教师签名:

20 年月

目录

摘要 .................................................................................................................................................. 1 第1章设计任务与要求 ................................................................................................................... 2

1.1 设计指标数字钟简介 ..................................................................................................... 2 1.2 具体要求 ......................................................................................................................... 2 1.3 设计要求 ......................................................................................................................... 3 第2章元件清单及主要器件介绍 ................................................................................................... 4

2.1 元件清单 ......................................................................................................................... 4 2.2 主要器件介绍 ................................................................................................................. 4

2.2.1 74LS90计数 ........................................................................................................ 4 2.2.2 74LS47 ................................................................................................................. 5 2.2.3 七段数码显示器 ................................................................................................. 7

第3章设计原理与电路 ................................................................................................................... 8

3.1 计时电路 ......................................................................................................................... 8

3.1.1 计秒、计分电路 ................................................................................................. 8 3.1.2 计时电路 ......................................................................................................... 10 3.2 校时电路 ....................................................................................................................... 11 3.2.1 报时锁存信号 ................................................................................................... 13 3.2.2 报时 ................................................................................................................... 13 第4章仿真结果及误差分析 ......................................................................................................... 15 4.1 实验结果 ....................................................................................................................... 15 4.2 实时分析 ....................................................................................................................... 15 第5章设计总结 ............................................................................................................................. 16 参考文献......................................................................................................................................... 17

四川工业科技学院数字电路课程设计

摘要

本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。

数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。

关键词:数字时钟,振荡器,计数器,报时电路

四川工业科技学院数字电路课程设计

第1章 设计任务与要求

1.1 设计指标数字钟简介

数字钟电路是一款经典的数字逻辑电路,它可以是一个简单的秒钟,也可以只计分和时,还可以计秒、分、时,分别为12进制或24进制,外加校时和整点报时电路。

数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。

因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。

1.2 具体要求

1、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;

3、提高电路布局,布线及检查和排除故障的能力。

四川工业科技学院数字电路课程设计

1.3设计要求

1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。

2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试

3、画出框图和逻辑电路图、写出设计、实验总结报告。

4、整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。

四川工业科技学院数字电路课程设计

第2章 元件清单及主要器件介绍

2.1 元件清单

1、74LS90(6个)

2、74LS47(6个)

3、74LS00(6个)

4、74LS20(6个)

5、74LS04(6个)

6、共阳七段数码显示器(6个)

7、蜂鸣器(1个)

8、快关若干,电阻若干

2.2 主要器件介绍

2.2.174LS90计数

本题目核心器件是计数器,常用的有同步十进制计数器74HC160以及异步

二、

五、十进制计数器74LS90.这里选用的是74LS90芯片。

74LS90的引脚图如图2-1表示。

图2-1 74LS90内部是由两部分电路组成的。一部分是由时钟CKA与一位触发器Q0组成的二进制计数器,可记一位二进制数;另外一部分是由时钟CKB与三个触发器Q

1、Q

2、Q3组成的五进制异步计数器,可记五个数000~111.如果把Q0和CKB连接起来,CKB从Q0取信号,外部时钟信号接到CKA上,那么由时钟CKA和Q0、Q

1、Q

2、Q3组成十进制计数器。

R0(1)和R0(2)是异步清零端,两个同时为高电平有效;R9(1)和R9(2)是置

四川工业科技学院数字电路课程设计

9端,两个同时为高电平时,Q3Q2Q1Q0=1001,;正常计数时,必须保证R0(1)和R0(2)中至少一个接低电平,R9(1)和R9(2)中至少一个接低电平。

74LS90的功能表如表2-1所示。

表2-1 2.2.274LS47 74LS47的引脚图如图2-3表示。

图2-3 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用。

表2-2列出了74LS47的真值表,表示出了它与数码管之间的关系。

四川工业科技学院数字电路课程设计

表2-2 H=高电平,L=低电平,×=不定 74LS47译码器原理如图2-4.

图2-4

74LS47是BCD-7段数码管译码器/驱动器, 74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码, 可以直接把数字转换为数码管的显示数字, 从而简化了程序,节约了 单片机的IO开销。因此是一个非常好的芯片!但是由于目前从节约成本的角度考虑, 此类芯片已较少用, 大部份情况下都是用动态扫描数码管的形式来实现数码管显示。

四川工业科技学院数字电路课程设计

2.2.3 七段数码显示器

共阳极七段数码管引脚图如图2-5表示。

图2-5 LED数码管中的发光二极管共有两种连接方法:

1、共阴极接法:把发光二极管的阴极连在一起构成公共阴极。使用时公共阴极接地,这样阳极端输入高电平的段发光二极管就导通点亮,而输入低电平的则不点亮。实验中使用的LED显示器为共阴极接法。

2、共阳极接法:把发光二极管的阳极连在一起构成公共阳极。使用时公共阳极接+5V。这样阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点亮,而输入高电平的则不点亮。

注:课设中使用的是共阳极数码管。

四川工业科技学院数字电路课程设计

第3章 设计原理与电路

3.1 计时电路

计时电路共分三部分:计秒、计分、计时。其中计秒和记分都是60进制,而计时为24进制。难点在于三者之间进位信号的实现。

3.1.1 计秒、计分电路

1、个位向十位的进位实现。

用两片74LS90异步计数器接成一个一步的60进制计数器。所谓异步60进制计数器,即两片74LS90的时钟不一致。各位时钟为1Hz方波来计秒,十位计数器的时钟信号需要从个位计数器来提供。

进位信号的要求是在十个秒脉冲中只产生一个下降沿,且与第十秒的下降沿对齐。只能从个位计数器的输出端来提供,不可能从其输入端来找。而计数器的输出端只有Q0、Q

1、Q

2、Q3四个信号,要么是其中一个,要么是它们之间的逻辑运算结果。

把个位的四个输出波形画出来,如图3-1所示。

图3-1 由于74LS90是在时钟的下降沿到来时计数,所以Q3正好符合要求,在10秒之内只给出一个下降沿,且与第19秒的下降沿对齐。Q2虽然也只产生一个下降沿,但产生的时刻不对。这样,个位和十位之间的进位信号就找到了,把个位的Q3(11端)连接到十位的CKA(14端)上。

四川工业科技学院数字电路课程设计

2、六十进制的实现

当几秒到59时,希望回00.此时个位正好计满十个数,不用清零即可自动从9回0;十位应接成六进制,即从0~5循环计数。用异步清零法,当6出现的瞬间,即Q3Q2Q1Q0=0110时,同时给R0(1)和R0(2)高电平,使这个状态变成0000,由于6出现时间很短,被0取代。接线如图3-2所示。

图3-2 当十位计数到6时,输出0110,其中正好有两个高电平,把这两个高电平Q2和Q1分别接到74LS90的R0(1)和R0(2)端,即可实现清零。一旦清零,Q2和Q1都为0,不能再继续清零,恢复正常计数,直到下次再同时为1。

计秒电路的仿真图如图3-2所示,计分电路和计秒电路是完全一致的,只是周期为1S的时钟信号改成了周期为60秒即1分钟的时钟信号。

3、秒向分的进位信号的实现

积分电路的关键问题是找到秒向分的进位信号。当秒电路计到59秒时,产生一个高电平,在计到60秒时变成低电平,来一个下降沿送给计分电路做时钟。 计秒电路在计到59时的十位和个位的状态分别为0101和1001,把这四个1与起来即可,即十位的Q2和Q0,个位的Q3和Q0,与的结果作为进位信号。使用74LS20四入与非门串反相器构成与门,如图3-3所示。

四川工业科技学院数字电路课程设计

图3-3 计分电路与计秒电路一样,只是四输入与门产生的信号应标识为59分。

3.1.2 计时电路

用两片74LS90实现二十四进制计数器,首先把两片74LS90都接成十进制,并且两片之间连接成具有十的进位关系,即接成一百进制计数器,然后在计到24时,十位和个位同时清理。计到24时,十位的Q1=1,个位的Q2=1,应分别把这两个信号连接到双方芯片的R0(1)和R0(2)端。如个位的Q2接到两个74LS90的R0(1)清零端,十位的Q1接到两个74LS90的R0(2)清零端。

计时电路的个位时钟信号来自秒、分电路产生59分59秒两个信号相与的结果,如图3-4所示。

图3-4

四川工业科技学院数字电路课程设计

计分和计时电路可以先单独用秒脉冲调试,以节省时间。联调时,可把秒脉冲的频率加大。

图3-5是一个链接好的简单的没有校时和报时的数字时钟电路。

图3-5 图中为了把数显集中到一块,可以直接把时、分、秒的数码管拖动到一起。但为了仿真时使器件管件的逻辑状态显示不影响数显的效果,可以从主菜单中把逻辑显示去掉即可。

3.2 校时电路

接下来把校时电路加上,校时电路主要完成校分和校时。选择较分时,拨动一次开关,分自动加一;选择校时时,拨动一次开关,小时自动加一。校时校分应准确无误,能实现理想的时间校对。校时校分时应切断秒、分、时计数电路之间的进位连线。

如图3-6,红色线框内是校时电路,由去抖动电路和选择电路组成。

四川工业科技学院数字电路课程设计

图3-6 其中,计到59分的信号已有,如图3-6中所示。只需把它和计秒电路的十位中的Q2Q0相与作为开始报时的一个条件即可。见图3-7,U16:A和U10:D组成的与门输出即为报时开始信号。

图3-7

四川工业科技学院数字电路课程设计

3.2.1 报时锁存信号

用秒个位的计数器输出进行四高一低的报时锁存信号。现在来分析一下50~59秒之间秒个位的状态。

秒个位:Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1

结合题目要求,通过这些状态的观察发现,秒个位的Q3’和Q0逻辑与后,正好在秒个位计到

1、

3、

5、7时产生高电平,0、

2、

4、6时产生低电平,可作低四声报时的锁存信号;秒个位的Q3和Q0逻辑与后,正好在秒个位为9时产生高电平,可做高音的报时锁存信号;这样就产生了两个报时锁存信号。

3.2.2 报时

把上述分析所得到的的报时开始信号分别和两个报时锁存信号相与,产生两路报时锁存信号,如图3-7,上面一路为高音报时锁存,下面一路为低音报时锁存。图中左面三个与非门实现的是与或逻辑,前面已介绍。

上下两路报时锁存信号分别与1kHz和500Hz的音频信号(20Hz~30kHz)相与或来驱动数字喇叭,实现整点报时功能。这里喇叭使用元件SOUNDER,它接收数字信号。

实验时,把59分50秒这个报时开始信号直接用高电平取代,这样比较省时。另外实际连接电路时,可用555定时器产生一个1kHz的方波,再经D触发器二

四川工业科技学院数字电路课程设计

分屏得到500Hz的方波信号。计时电路的1Hz方波也可由555定时器产生,但由于标准电阻和电容值的选择会带来一些积累误差,也可选用其他更精确的振荡电路来实现。

四川工业科技学院数字电路课程设计

第4章 仿真结果及误差分析

4.1 实验结果

成功设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,有校时功能的电子钟。能够实现整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。且能够正常仿真。

如图4-1是完整的数字钟电路图。

图4-1 4.2 实时分析

本次课程设计电路完全按照仿真图所连的,在测试时,当开始进行时校时时,没有出现问题,但当进行到分校时时,发现计数电路的秒电路开始乱跳出错。因此,电路一定是有地方出错了,在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的,因此,在接线时一定要注意把不要的多余的线拿掉。

仿真时用的脉冲是用的软件里的时钟脉冲,没有使用555定时器,可能会造成一定的误差。

四川工业科技学院数字电路课程设计

第5章 设计总结

通过这次数字电子钟的课程设计,我们把学到的东西与实践相结合,深化了我对数字电路设计和模拟电路的设计,让我在设计的实践中获得了更多的知识,同时锻炼了我的动手能力。在这过程中对我们学的知识了更进一步的理解,而且更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法,也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。

虽然这只是一次学期末的课程设计,但通过这次课程设计我们了解了课设计的一般步骤、方法和设计中应注意的一些问题。我觉得这次设计是很有重要意义的,它锻炼了同学们对待问题时的态度和处理事情的能力,了解了各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点,同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

总之,这次课程设计让我学到了好多东西,这种课程设计对一个大学生是非常重要的。在此我要感谢我同组的搭档蔡西!然后,非常感谢廖老师的耐心指导!

四川工业科技学院数字电路课程设计

参考文献

【1】张存礼、韩爱娟主编. 电子技术综合实训.北京师范大学出版社.2005.8。 【2】朱清慧主编.Proteus教程.清华大学出版社.2011.6。 【3】阎石主编.数字电子技术基础. 高等教育出版社.2016.4。

第二篇:数字电路课程设计数字时钟实现

应用科技学院

《电子技术课程设计报告》

设计题目:数字钟的设计与制作

专业班级:13级《物联网工程》2班 姓名:白雪 王贞 张莹 学号:068 108 131 指导老师:刘烨

时间:2015年5月15日~ 2015年 5 月30日 地点:四教4414实验室

海南大学儋州校区应用科技学院

摘要:

数字时钟是一种用数字电路技术实现秒﹑分﹑时的计时装置,与传统的机械钟相比,它具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因而得到了广泛的应用。小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。数字时钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

本课程设计要用通过简单的逻辑芯片实现数字时钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用14位二进制计数器CC4060芯片、7双BCD同步加计数器CD4518芯片、十进制加计数器/7段译码器CD4033芯片等连接成60和12进制的计数器,再通过七段数码管显示,构成了简单数字时钟。 关键词:数字时钟;555芯片;计数器;数码管

2

海南大学儋州校区应用科技学院

1设计目的 ................................................................................................................................ 4 1.1设计指标 ......................................................................................................................... 4 2课程设计任务及要求 ............................................................................................................ 4 2.1 设计任务 ........................................................................................................................ 4 2.2 设计要求 ........................................................................................................................ 4 3系统设计 ................................................................................................................................ 4 3.1 设计思路 ........................................................................................................................ 4 3.2 系统设计 ........................................................................................................................ 5 3.2.1 原理图及说明 ....................................................................................................... 5 3.2.2 具体设计 ................................................................................................................. 6

3.2.2.1.小时计时电路 ............................................................................................... 6 3.2.2.2.分钟计时电路 ............................................................................................... 6 3.2.2.3.秒钟计时电路 ............................................................................................... 6 3.2.2.4.手动时间校准电路的设计 ........................................................................... 6 3.2.2.5.光敏电阻的设计 ........................................................................................... 6

4 主要元器件的介绍 ............................................................................................................... 7 4.1 40161------4位二进制同步计数器(有预置端,异步清除) ..................................... 7 4.2 CD40106 .......................................................................................................................... 7 4.3 CD4009 ............................................................................................................................ 8 5 电路板的安装与测试 ........................................................................................................... 8

3

海南大学儋州校区应用科技学院

1设计目的

数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。

我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标

1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

2课程设计任务及要求

2.1 设计任务

1、设计一个有“时”,“分”,“秒”(11小时59分59秒)显示且有校时功能的数字时钟钟;

2、 用中小规模集成电路组成数字时钟。

2.2 设计要求

1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频);

2.能显示时、分、秒,12小时制; 3. 设计晶体震荡电路来输入时钟脉冲;

4.用双BCD同步加计数器CD4518芯片设计一个分秒钟计数器,即六十进制计数器.;

5.用十进制加计数器/7段译码器CD4033芯片设计一个12小时计数器, 6. 译码显示电路显示时间。

3系统设计

3.1 设计思路

数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每 累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计数器,可以实现12小时的累计。LED数码管将“时、分、秒”计数器的输出状态显示。校时电路是来对“时、分、秒”显示数字进行校对调整。

4

海南大学儋州校区应用科技学院

本设计使用芯片数最少、计时准确、动态显示的节电工作方式(耗电量仅为静态显示模式的1.8%)、调试方便、时间校准方便。电路中的振荡器XT为目前多数石英晶体电子表中使用的频率为215=32768HZ的石英晶体,经IC(2CC4060)组成的14级2分频和IC3A(CD4518)组成的一级2分频后可得到1HZ的“秒”脉冲信号。用6个40161分别控制6个数码管,用逻辑门电路选择各个数码管的最大数字,比如说输出9, 就要对应的输入二进制数1001,输出3对应0011,1对应 0001。逻辑门电路选择好最大数,就接入下一个40161的 CLK 端,来进位。MR端要接入与非门和40106之间,读取最大数。我们设计的是实现0~9,0~5,0~1,0~2的进位方式。从秒开始,0~59,分0~59,时0~11. 3.2 系统设计

3.2.1 原理图及说明

时LED数码管分LED 数码管秒LED数码管时计数(十二进制)分计数(六十进制)秒计数(六十进制)时校准分校准晶体振荡器分频器 (1)电路原理框图

(2)电路原理图

5

海南大学儋州校区应用科技学院

用555电路构成的1KHz多谐振荡器,调节电阻R3可以改变输出信号频率。74LS160是二,五,十进制同步加法器,用三片74LS90构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。由于74LS160是十进制计数器,分别将个位接成十进制计数器,十位接成六进制计数器,分别将个位的RCO输出端接十位的9脚端,就构成60进制计数器,用两个相同的60进制计数器分别做作为秒,分计时,并在个位和十位输出端接上数码显示管显示小时计数器直接采用整体反馈清零法构成24进制计数器。 工作原理:振荡电路产生的1KHZ脉冲信号经三级十分频电路分频后产生的1HZ脉冲信号输入74LS90N连成的60进制秒计数器,再由秒计数器每60秒进位输出给60进制分钟计数器,分钟计数器满60后产生进位信号输入给24进制小时计数器,从而实现12小时制电子钟的功能。

3.2.2 具体设计

3.2.2.1.小时计时电路

小时计时电路由两块4033B和4081芯片7段译码器组成12进制计数电路。该电路译码器能识别数字00到11的计数,当接收到从“分”传来信号到芯片4033的第1个管脚时,使得在小时的计数模块进行加1,每接收到一次信号,即进行一次计数,计一次为一小时,同时将信号反馈回“分”,使得将计数清零。即可可以将小时从“00”到“11”后,在继续计时时,计数器计数将会被置回“00”。使整个计数器在小时的计数模块成为从“00”到“11”到清零循环回“00”到“11”这样的12进制的12个稳定状态的计时方法。 3.2.2.2.分钟计时电路

与小时计时模块相比,分钟计时模块相对简单些。它的电路原理是由于两块4033B芯片组成的60进制的分钟计数方式,该译码器电路能识别信号59,整个计数计时方式是从“00”到“01”“02”.....“59”在到“00”的共60个稳定状态的自动连续循环模式。 3.2.2.3.秒钟计时电路

秒钟的计数又有些相同与不同。它同样是由4033B两块芯片进行构成60进制计数。该译码器识别信号至59,然后清零循环计数。计数方式与分钟计数方式一样。但除了4033B芯片外,外加了4060和4518两块芯片。外加了两块芯片使得在秒钟计数模块有了自动的计数方法。而不是通过外来校准不停的进行调整计数。

3.2.2.4.手动时间校准电路的设计

S1和S2分别为“小时”与“分”的手动校准电路。S1按动一次,在小时计时部位计数加1,S2按动一次,在分钟计时计数数码管显示上显示加1,。滤波电路C

3、R10和C

4、R13分别用来吸收S1和S2的动作产生的电压抖动。二极管D

1、D2分别为“小时”与“分”校准电路与相邻下一级计数器“清零”端R之间的单向隔离文件。R

11、R12为手动校准电路的限流范围。 3.2.2.5.光敏电阻的设计

光敏电阻R1~R6分别为数码管DS1~DS6夜间工作在节电模式时的亮度自动控制电路。光敏电阻可选用MG41-22或MG45-

12、或560

6、6106型(亮电阻≤2KΩ,暗电阻≥900KΩ)。每只数码管的公共端第3(8)脚通过一光敏电阻串联晶体开关管9013接地。当夜晚室内光线较暗时,数码管自动降低亮度。数码管DS1~DS6采用超高亮度的数码管5011型,这种LED数码管耗电为普通数码管的十分之一,每个段码的驱动电流仅为1mA,就可以发出普通数码管20mA

6

海南大学儋州校区应用科技学院

工作电流时相同的亮度。当其工作电流达20mA时,发出光亮足以保证在室外阳光下正常显示。该控制电路可使数码管显示的供电电流降低到原来的1/30,即为10~15 mA的水平。开关管Q1~Q3选用9013(40V、0.5A、0.625W、低频)可满足控制两个数码管阴极电流通断的要求。本设计还充分利用芯片CD4033的“零”数字消隐功能,即当十位上海数字为零时,该数码管不亮。例如,当时间为9时8分5秒,不是显示“09”时“08”分“05”秒,而是显示“9”时“8”分“5”秒,该设计方案可使数码管显示的供电电流降低到原来的1.8%,即为5~9 mA的水平,可大大降低电源的能耗。

4 主要元器件的介绍

4.1 40161------4位二进制同步计数器(有预置端,异步清除)

40161是4位可编程计数器,复位采用异步方式,当CLEAR为低电平时,使四个输出端均置为低电平,而与CLOCK、/LOAD或PE、TE输入的状态无关,/LOAD为低电平时,计数器无效,使输出端在下一时钟脉冲与设置的数据一致,并与PE、TE输入端的状态无关。

N位同步级联计数器可由超前进位电路实现,不需要外加控制,此功能由两个计数控制输入端和进位输出端完成。PE、TE输入端均为高电平时,计数有效,当计数超过“15”时,进位输出端CARRY OUT(CO)即产生一正向输出脉冲,其脉冲宽度约等于Q1输出正向宽度,此正向溢出进位脉冲可使下一级联电路有效,时钟无论为高电平或低电平,均可实现PE或TE输出的逻辑转换。

4.2 CD40106 CD40106由六个施密特触发器电路组成。每个电路均为在两输入端具有施密特触发器功能的反相器。触发器在信号的上升和下降沿的不同点开、关。上升电压(V T+)和下降电压(V T-)之差定义为滞后电压。它的2 4 6 8 10 12引脚是数据输出端,1 3 5 9 11 13是数据输入端,14是电源正,7接地。

CD4016引脚图

7

海南大学儋州校区应用科技学院

4.3 CD4009 CD4009是十六进制的CMOS缓冲器/变换器

5 电路板的安装与测试

为了方便检测,电路有6位数码管安装在CD4033的上方,分别显示出时“00~11”分“00~59”秒“00~59”的时钟显示。根据电路的设计特点,在安装过程中,基于测试同时进行。在安装测试顺序是①1HZ脉冲信号的产生电路,运用逻辑笔测试芯片IC34的Q0端的“1HZ”的脉冲输出信号;②“秒钟”计数/译码/显示电路,显示0秒钟~59秒钟,运用逻辑笔测试芯片IC5A第3期的“满60秒进一”的进位脉冲输出信号;③“分”钟计数/译码/显示电路,显示0分钟~59分钟,运用逻辑笔测试芯片IC58第四脚的“满60进一”的进位脉冲输出信号;④“小时”计数/译码/显示电路,显示0小时~12小时,运用逻辑笔测试芯片IC5C第10脚的“清零”脉冲输出信号;⑤分别按动开关S

1、S2,测试时间校准电路的功能及可靠性;⑥用厚纸片遮蔽敏光电阻的上方,观测数码管亮度显示接受控制前后的响应情况。6个单元电路组装合格后,电路可以显示12小时内的任一时间。时间校准电路组装完成后,可以校准当前时间,并验证一昼夜

8

海南大学儋州校区应用科技学院

24小时的计时误差是否在一定范围内;然后在一定电源内测量整机最大工作电流是否也在一定范围之间。

9

海南大学儋州校区应用科技学院

10

海南大学儋州校区应用科技学院

11

海南大学儋州校区应用科技学院

12

第三篇:数字电路课程设计题目

题目1 数字式频率计

任务:

设计一个数字式频率计。 基本要求:

1.被测信号为TTL脉冲信号。 2.显示的频率范围为0—99Hz。 3.测量精度为±1Hz。

4.用LED数码管显示频率数值。 扩展部分:

1.输人信号为正弦信号、三角波,幅值为10mV。 2.显示的频率范围为0000—9999Hz。 3.提高测量的精度至0.1Hz。 设计方案:

频率是指单位时间(1s)内信号振动的次数。从测量的角度看,即单位时间测得的被测信号的脉冲数。电路的方框图如下图所示。被测信号送人通道,经放大整形后,使每个周期形成一个脉冲,这些脉冲加到主门的A输人端,门控双稳输山的门控信号加到主门的B输入端,在主门开启时间内,脉冲信号通过主门,进人计数器,则计教器记得的数,就是要测的频率值。如果主门的开启时间为Ts,计数器累积的数字为N,则被测的频率为fx=N/T。

五、可选元器件

锁存器74LS273;计数器74LS90;

定时器555:

单稳态触发器743Ls123;

显示译码器74Ls47;

共阳极数码管:

电阻、电容若干。

数字电路课程设计题目

题目2 多功能数字钟

一、任务

设计一个数字钟。

二、基本要求

1.准确计时,以数字形式显示时、分和秒的时间。

2.小时的计时要求为“12翻1”,分和秒的时间要求为60进制。

3.校正时间。

三、扩展功能 1.定时控制。

2.仿广播电台正点报时。 3.报整点时数。

四、数字钟电路的组成框图

数字钟电路的组成如上图所示,其主体电路的工作原砌口下:由555定时器产生1kHz的脉冲信号,经由74LS90构成的几级分频器后,输出1Hz的时钟,为由74LS90和74LS92构成的60进制秒计数器提供时钟,秒计数器十位再向74Ls90和74L592构成的60进制分计数器提供时钟,其高位再为由74LSl91和74LS74构成的12进制时计数器提供时钟。秒、分和时计数器的输出分别接到各自的译码器的输入端,驱动数码管显示。

五、可选无器件

与非门:74LS00 4片;译码器:74LS47 6片;计数器:74LS90 5片 74LS92 2片 74LS191 2片;发光二极管4只;数码管4只;555定时器:NE555 2片;触发器:741LS74 2片;74LS03(OC)片:74LS04 2片:74LS20 2片。

数字电路课程设计题目

题目3声控开关的设计与制作

一、任务

设计—个声控开关,控制对象为发光二极管。

二、基本要求

1.接收到一定强度的声音后,声控开关点亮发光二极管(电流5-10mA),延时时间在1—10s之间可调。

2.延时时间用数字显示(采用共阳极数码管),时间单位为0.1s,显示范围为0-9.9s。

三、设计方案

设计方框图如下图所示。驻极体话筒接收到一定强度的声音信号后,声音信号转换为电压信号,幅值很小,经放大、整形(施密特电路)后,触发单稳延时电路,产生一个宽度可调的脉冲信号,驱动发光显示电路。同时,这个脉冲信号作为选通信号,使计数器计数,并用数码显示。若时基电路的信号周期为0.1 s,则数码管显示的数字即为相应的时间值。

四、可选元器件 驻极体话筒; 三级管:9013;

555定时器;

发光二极管LED(共阳) 显示译码器;74LS47; 计数器;74LS90; 与非门;74LS00; 电阻、电容若干。

数字电路课程设计题目

题目4 数字式相位差测量仪

一、任务

设计一个电路测量两路信号的相位差。

二、要求

1.被测信号为正弦波,频率为40—60Hz,幅度大于0.5V。

2.相位测量精度为1。

3.用数码管显示测量结果。

三、设计方案

图1

两个同频信号中fR为基准信号,fs为被侧信号,经放大整形后,变成正方波信号(即电压变化范围0-5v),再经二分频电路送入由异或门组成的相位比较电路,其输出脉冲A的宽度tw可反映两信号的相位差,波形的变化过程如图2所示。

图2

锁相环和360分频电路构成的是360倍频电路,其输出B的每一周期对应原信号的1,所以可用它来度量相位差。控制电路的作用分为两方面,其一,当计数结束时,产生锁存信号,将汁数结果存入锁存器;其二,锁存后,再产生一短暂的清零信号,将计数器清零,为下一周期的测量做好准备。

四、设计提示

1.放大电路可由运放u A741实现,波形的整形用集成比较器LM393构成的滞回比较

数字电路课程设计题目

器完成。应保证整形前后两信号的相位差不变。

2.异或门采用四—2输入异或门集成电路74LS386(或74LS86)。用示波器检查其输出是否反映了两信号的相位差。

3.锁相环采用低频锁相环CD4046,其原理请参照教材。设计时应根据本题目的要求合理选择压控振荡器的定时电阻和电容,使其振荡频率在50×360=18kHz左右。环路滤波器采用简单的RC滤波器即可,截止频率应低于40Hz。

4.360分频电路可用三级计数器串接而成(5×6×12),用74LS90和74LS92即可实现。

5.锁存器可用两片74LS273(8D触发器)实现。

6.控制电路用以产生锁存器的锁存信号(即时钟信号)和计数器的清零信号。可用两级单稳电路.其一接受A的下降沿触发,产生一正脉冲CP;后者接受CP的下降沿,产生清零脉冲。

注意:脉冲宽度应合适,不应影响下一周期的测量。

数字电路课程设计题目

题目5 数字电容测试仪

一、任务

设计一个数字电容测试仪。

二、基本要求

1.设计一个能测量电容范围在1000—100000pF之间的电容测试仪 2.用数码管显示。

3.测量精度要求为±10%(准确值以万用表的测量值为准)。

三、扩展要求

通过选择量程的方法扩展电容的测量范围,最大要求100pF—10uF。

四、设计方案

1.设法将电容的划、转换成与之相对应的脉冲数。 2.测量脉冲数目并进行译码,用数码管显示结果。 3.设计框图见下图。

五、可选元器件

脉冲形成和时基电路:用NE555; 计数器:74LS90或74LS160; 译码器:74LS47或74LS48: 显示:LED数码管;

控制电路:74Ls系列与非门; 电阻、电容若干。

数字电路课程设计题目

题目6 光控计数器

一、任务

设计一个利用光线的通断来统计进入实验室人数的电路。

二、基本要求

1.设计两路光控电路,一路放置在门外,另一路设置在门里,当有人通过门口时(无论是进入或走出房间),都会先触发一个光控电路,再触发另一个光控电路。要求根据光控电路产生触发脉冲的先后顺序,判断人员是进入还是离开实验室,当有人进入实验室时令计数器进行加计数,当有人离开实验室时进行减计数。

2.要求计数器的最大计数容量为99,并用数码管显示数字‘

三、扩展要求

1.有手动复位(清零)功能。

2.要求计数器每计—个数,发光二极管指示灯闪烁一次(或峰呜器呐一次)。

四、设计方案

五、可选元器件

红外发光二极管和光电三极管(对管两对);

集成显示译码电路74LS 47或74LS48(2个):

数码管(2个);

可逆计数器74LS190或74LSl92(2个);

发光二极管;

555定时器。

说明:74LSl90为单时钟加减控制型十进制可逆计数器, 74LSl92为双时钟十进制可逆计数器。

数字电路课程设计题目

题目7 空调机温度控制器

一、任务

设计一个空调机温度控制器。空调为温度调节设备,当制冷运行时,如果室内环境温度高于设定温度,空调器启动制冷运行:如果室内环境温度低于设定温度,空调器停止运行。制热运行与制冷运行过程正好相反。

二、基本要求

1.具有温度采集功能,实时采集室内环境温度,要求误差在±1℃之内。

2.温度设定通过按键完成,比如,按一下键为设定成21℃,按两下键设定为22℃,依此类推,并且能将设定的温度显示出来。

3.根据设定温度和采集到的温度判断压缩机的运行状态,可以用开关量来表示。

三、扩展部分

1.制作成冷暖两用型控制器,需要另外加一个控制变量来描述制冷或者制热。

2.控制器具有施密特特性,抗扰动。

3.提高温度采集准确度。

四、设计方案

该电路的核心应为一比较器。且该比较器的阈值电压应受到设定温度的控制。可以采用多路模拟开关和电阻网络来改变阈值电压。可参考下图。

五、可选元器件

温度传感器:AD590:

集成运放:LM324;

三级管:90133

多路模拟开关:比406

6、

可以选用其他器件。

数字电路课程设计题目

题目8 数字式波形发生器

一、任务

采用EPROM(2764)设计一种可产生多种模拟连续波形的电路,能够产生正弦波、三角波、锯齿波。

二、基本要求

1.设汁时钟电路。

2.设计能够产生正弦波的波形发生器。

3.画出完整的电路图,写出设计实验调试报告。

三、扩展部分

1.对正弦波、三角波、锯齿波波形进行量化,并将量化结果存于EPROM。 2.设计产生三角波、锯齿波的连续波形发生器。 3.画出完整的电路图,写出设计实验调试报告。

四、设计方案

数字式波形发生器框图如下图所示。由时钟电路、计数器电路、EPROM和D/A组成。采用555构成多谐振荡电路作为时钟电路,或采用卧式晶振构成时钟电路,时钟频率为256Hz。计数器可采用74LSl6

1、74LS93构成,其输出作为EPROM的地址输入。将多种波形的量化结果存于EPROM存储单元内。采用8位D/A,进行数模转换。

五、可选元器件

555定时器:NEC555; 计数器:74LS161;

EPROM:2764;

数模转换器:DAC0832: 运算放大器:uA741; 集成稳压源:CW78L05。

数字电路课程设计题目

题目9 可编程字符发生器

一、任务

制作一个可编程字符发生器

二、基本要求

LED发光短阵作显示器件。

1.点阵式LED发光矩阵为8X8或16X16规格。 2.设计LED发光矩阵的驱动电路。

3.用EPROM存储器存储待显示的字符。

4.字符数大于4,字形自定,如“庆祝十六大胜利闭幕”、“电子设计竞赛”等。 5.具有顺序显示、流水显示和循环显示的工作方式,设计相应的控制电路。

三、设计方案

由发光二极管组成的显示屏,当行、列信号有效时,其交点的发光器件点亮,多个被点亮的发光器件即可组成一定的字符。被点亮的器件如果核一定方式显示,如从上至下,从右至左,即可形成流水显示效果。

被显示的字符按点阵方式存储在EPROM中,例如存储“中”字: 高位地址 低位地址

数据代码

D7 D6 D5 D4 D3 D2 D1 D0 全“0”

000

0

0

0

0

0

0

0 全“0”

001

0

0

0

0

0

0

0 全“0”

010

0 全“0”

011

0

0

0

0

0 全“0”

100

0

0

0

0

0 全“0”

101

0 全“0”

110

0

0

0

0

0

0

0 全“0”

111

0

0

0

0

0

0

0 存储器写入数据在EPROM的片选和读写控制端控制下进行,可通过专门的编程器写入,习惯称为烧入。也可通过手写方式逐点写入。

由发光二极管组成的显示屏应采用动态扫描工作方式,有利于简化电路。例如,行驱动扫描速度较快(100Hz),而列驱动情号较慢(1Hz),于是在1s内,行驱动信号变化100次,被点亮的LED亮灭100次。由于人眼的视觉暂留作用,字符就固定显示出来了。如果将一个字符逐次移动一列存储10次,每隔0.1s列地址变化一次,即可产生流水效果。

可编程字符发生器的参考力框图如下图所示。

四、可选元器件

发光二极管点阵(8×8);EPROM2716;计数器:74Ls93;555定时器;驱动管2803; 锁存器:74LS373;非门74LS04;译码器:74LSl38。

数字电路课程设计题目

题目10 智力竞赛抢答器

在进行智力竞赛抢答时,需要将参赛者分为若干组进行抢答,究竟谁先谁后单凭主持人的眼睛是很难判断的;在提问或回答时,往往都要有时间限制。另外,犯规违章要发出一种特殊信号,以便主持人看得清、听得到。要完成上述功能,非专门仪器是难以实现的。因此,在进行智力竞赛时,通常使用一种仪器——“抢答器”。它能避确无误地实现上述功能。

一、任务要求

1.四组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确地判断出抢先者.并以声、光为标志。要求声响、光亮时间为9秒后自动熄灭。

2.抢答器应具有互锁功能,某组抢答后能自动封锁其它各组进行枪答。

3。抢答器应具有限时(抢答时、回答问题时)功能。限时档次分别为30秒、60秒、90秒;时间到时应发出声响。同时,时间数据要用数码管显示出来。

4.抢答者犯规或违章(主持人未说‘开始抢答”时,参赛者抢先按钮)时,应自动发出警告信号,以指示灯光闪为标志。

5.系统应具有一个总复位开关。

二、设计说明和提示

如下图所示,为抢答器的逻辑框图。

它主要由输人电路、判别电路、声光显示电路、计时电路和数码显示电路等组成。抢答时,当抢先者按下面前的按钮时,输人电路立即输出一抢答信号,经判别电路后,输出响应信号去驱动声光显示电路。声响电路和计时电路的输入信号是由晶振电路产生的脉冲信号来提供。

三、实验步骤自拟、器件自选

数字电路课程设计题目

题目11 交通信号灯的自动控制

随着经济建设的发展,交通日益繁忙,事故时有发生。为了保障行人和行车的安全,在十字路口上,都增设了交通灯控制器,如图1所示。大道通常有车.小道很少有车。

一、任务要求

1.通常情况下,大道绿灯亮,小道红灯亮。

2.若小通来车,大道经6秒由绿灯变为黄灯;再经过4秒,大通由黄灯变为红灯,同时,小道由红灯变为绿灯。

3.小道变绿灯后,苦大道来车不到3辆,则经过25秒钟后自动由红灯变为黄灯,再经过4秒变为红灯,同时.大道出红灯变为绿灯。

4.如果小道在绿灯亮时.小道绿灯亮的时间还没有到25秒,只要大道检测到已经超过3辆车在等候,那么小道应立即由绿灯变为黄灯,再经过4秒变为红灯.同时,大道由红灯变为绿灯。

图1

表1

二、设计说明和提示

1.灯的变化出现四个状态,如表1表示灯亮,‘0’表示灯灭。

2.交通灯控制的原理框图如图2所示。秒信号和检测信号由实验箱信号源和高低电平来提供。

图2

三、实验步骤自拟、器件自选

数字电路课程设计题目

题目12 电子锁

电子锁具有保密性强、防盗性好等特点。随着对电子锁产品的开发研制,它在日用锁中所占比重日益增强。电子锁具有机械锁无法比拟的优越性,它不仅可以完成锁本身的功能,还可以兼有多种功能,如记忆、识别、报警、兼作门铃等等。作为密码类电子锁,还不需要带钥匙,只要记住开锁密码即可。如果密码失密,主人还可以随时变换密码,不会造成不应有的损失。

一、任务要求

1.设计一个电子锁,其密码为8值二进制代码,开锁指令为串行输入码。

2.当开锁输入码与密码一致时,锁被打开。

3.当开锁输入码与密码不一致时,则报警。报警动作响1分钟,停10秒钟后再重复出现。

4.报警器可以兼作门铃用,而门铃响的时间通常为7一l0秒。

二、设计说明和提示

下图为电子锁原理框图。锁体一般由电磁线圈、锁拴、弹簧和锁框等组成.当有开锁信号时,电磁线圈有电流通过,于是线圈便产生磁场吸住锁拴,锁便打开。当无开锁信号时,线圈无电流通过,锁拴被弹入锁框,门被锁上。为教学方便,我们用发光二极管代替锁体,亮为开锁,灭为上锁。密码存储可用高低电平开关设置,也可以采用时序电路存储。当开锁信号串行输入时,一定做到输入8位代码后才出现比较结果,一致时则开锁,不一致时则报警。

三、实验步骤自拟、器件自选

数字电路课程设计题目

题目13 八路彩灯显示电路

每逢过年过节都要在门口上搞些彩灯,以显示浓厚节日气氛。那么在当代舞台上彩灯的闪烁更引人注目,使人们心情有一种特别快乐感。彩灯装置多种多样,在这里我们设计这样一种彩灯——八路彩灯显示。

一、任务要求

设计一个八路彩灯,而且每路都有八盏灯显示的控制装置。其彩灯变化情况如下:

1.八路彩灯的每路八盏灯同时依次亮,时间间隔1秒,然后同时依次灭,时间间隔1秒。

2.八路彩灯同时整个亮,时间间隔0.5秒,然后同时整个灭,时间间隔0.5秒。而这个 过程要重复四遍。

二、设计说明和提示

每路彩灯要完成一个循环共需20秒,其工作程序如下表所示。设每路彩灯为Q1-Q8。

由表可知,要完成八路彩灯显示功能.需设一个控制器,重复实现该控制程序。

三、实验步骤自拟,器件自选

第四篇:数字电路EDA课程设计数字跑表

EDA课程设计报告书

题目: 数字跑表

姓名: 班级: 学号: 成绩:

一、设计题目及要求 设计题目:数字跑表

要求:1 具有暂停,启动功能。

2 具有重新开始功能。

3 用六个数码管分别显示百分秒,秒和分钟。

二、设计过程及内容

拿到题目后,我在图书馆进行了相关书籍的查阅,首先明确了题目中设计项目要实现的功能,再进一步确定实现其功能的组成部分和使用器件,对于本次设计的总体思路,首先是设计一个控制模块,可以使跑表具有启动、暂停及重新开始的功能;然后,利用一个分频模块即15进制计数器得到100HZ的时钟脉冲,接入到一个100*60*60三个计数器的模块中,完成对时间的计时工作和对选时模块的输出工作,使选时模块得到对应的时间,其次将选时模块与显示模块连接,使数码管显示选中的当前时间,从而完成了这次课程设计的设计工作,进入到实现过程中去。

根据课程设计要求将设计分为5个模块:

1、控制模块,使跑表具有启动、暂停及重新开始的功能;

2、分频模块,用于得到频率为100HZ的时钟脉冲;

3、计时模块,进行时间的计时,同时将当前时间输出给选时模块;

4、选时模块,从计时器得到当前时间输出给显示模块;

5、显示模块,进行时间的显示。 总图如下:

2 第一个模块:控制器模块

与门可控制时钟信号的输出与否,当跑表为START状态时CLK端为高电平,QA为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,QA为0,时钟信号不输出,从而实现开始和暂停的功能。REST是清零按钮,REST接到控制模块和总计时器模块的清零端,当REST为高电平时,控制模块和总计数器模块清零,跑表重新开始工作。

第二个模块:分频器模块

将74161接成15进制计数器,将1465HZ的时钟频率转换成近似于100HZ的时钟信号即所需的输入时钟信号,从而实现分频功能。将得到的时钟信号输入到总计数器模块中去。

3 第三个模块:计时模块

计时模块

本模块由两个60进制计数器和一个100进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。60进制计数器及100进制计数器均采用两个74LS160,采用整体置数方式接成。从60进制计数器和100进制计数器这三个输出端分别印出八个端口(秒、分、时的个位及十位分别由四个二进制代码表示),将当前时间编码传送给选时模块,实现时间的选择和显示。(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)

100进制计数器

60进制计数器

第四个模块:选时模块

本模块由四个八选一数据选择器74LS151和地址选择器74LS161构成。

地址选择器74LS161接入一个1465 HZ的时钟信号,使能端和清零端接高电平,使其循环工作,产生一组循环地址码A、B、C,接到数码管的地址端,使其循环显示数字。同时,地址选择器74LS161产生的一组循环地址码接入到四个八选一数据选择器74LS151上,使其对地址相同的一组数据进行选择,产生四个二进制数A0,A1,A2,A3,即为数码管所要显示的数字的编码。

第一个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的最低位(S0A,S1A, M0A, M1A, H0A, H1A), 第二个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的次低位(S0B,S1B,M0B,M1B,H0B,H1B), 第三个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第二位(S0C,S1C,M0C,M1C,H0C,H1C), 第四个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第一位(S0D,S1D,M0D,M1D,H0D,H1D),通过这四个八位二进制数比较器74LS151选出同一组数(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)作为输出A0,A1,A2,A3,接到显示模块输入端。

选时模块

第五个模块:显示模块

本模块采用BCD—七段显示译码器7448对实验板上数码管进行驱动。由选时模块输出的显示数字编码A0,A1,A2,A3接至输入端A,B,C,D,使输出端产生七位译码连接到实验箱公共数据输入端ABCDEDG,从而进行数据的显示。

显示模块

三、设计结论

两周的课程设计很快就结束了,虽然时间很短,但是收获颇丰。通过这次课程设计,我学到了许多关于EDA的知识,认识到了EDA的强大功能,更重要的是增强了我的实践动手能力,使我深刻地认识到仅仅学习课本上的知识是远远不够的,必须要多多动手,多多实践,才能真正理解并掌握所学的知识,达到学以致用的目的。同时我也深深地感受到严谨的态度对于科学研究的重要性。由于在设计的过程中,一点点的马虎都可能造成整个系统的瘫痪,所以每一个细节都要认真思考,认真操作,不能有丝

6 百分的大意。这使我认识到要想做一个科研工作者是多么的不易!自己身上的缺点还有很多,要靠以后艰苦的努力来克服!

这次的EDA课程设计给了我一次非常重要也非常难得的实践机会,使我可以将平时课本上学习的理论知识应用于实际操作。设计的过程是十分艰苦的,由于从未接触过类似的领域,所以刚开始的时候一片茫然,不知道该干些什么。随着研究的逐渐深入,自己渐渐的摸出头绪,掌握了一些规律和方法,设计的成果也逐步成型,最终按照要求完成了设计。在实际操作的过程中,碰到了许多的困难,但最终在老师的耐心指导和同学的热情帮助下,按时完成了任务。在此对老师和同学们表示衷心的感谢!

最后感谢老师给与我这次宝贵的实践机会!

第五篇:数字电路课程设计总结报告

课程设计总结报告

总结报告应包括以下几点:

1、课题名称

2、内容摘要

3、设计内容及要求

4、比较和选写设计系统方案,画出系统框图

5、单元电路设计,参数计算和器件选择

6、画出完整的电路图,并说明电路的工作原理

7、组装调试的内容。包括:

(1)使用的主要仪器和仪表

(2)调试电路的方法和技巧

(3)测试的数据和波形与计算结果比较分析

(4)调试中出现的故障、原因及排除方法

8、总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,提出改进意见和展望

9、列出系统需要的元器件清单

10、列出参考文献

11、收获、体会。

注意:报告必须手写,电路图用直尺和铅笔手绘应符合规范。(原理总电路图可用计算机仿真电路打印图)。

评分方法

1、 必做内容约占总成绩的60%(不包括总结报告),具体分数视完成的质量、先后和是否独立完成(包括答疑次数的多少)而写。值得指出的是,所谓完成质量的高低不是单纯的看所选用的元器件是否最先进或完成的指标是否很高,而是要看是否充分利用已有的条件,合理地实现所规定的要求。

2、 选做内容约占总成绩的20%,具体分数视选做内容的难度,完成的质量是否在规定的期限内独立完成而定。

3、

4、 总结报告约点总成绩的20%,按质量好坏给分 有下列情况之一者,要酌情减分

(1) 有抄袭分为或有意给别人抄袭

(2) 损坏或丢失实验室的物品,包括元器件、仪器设备和工具

(3) 迟交总结报告。

三、时间安排:

第一周

1、学生按照自己的任务去找资料、定方案,画出设计电路图并仿真,按电路图填写好课设器件清单,按需填写。(答疑时间:星期二上午9:30 ~ 11:30)

2、星期三 10:00 前由班长收齐好所有组的课设器件清单,每组一份,交给教师,审核后由数电实验室负责捡器件;

星期四 教师通知班长到数电实验室(10#-419)领取器件,班长清点好并发放到各组。

第二周

星期一 ~ 星期二 :学生可以在宿舍、数电实验室,完成课设的连线与调试。若期间有器件损坏或不够的同学,请直接到10#-419按规定登记领取需要的器件。

星期三 ~ 星期五:每天上午(8:30~ 11:00)和下午(2:30~ 4:00)在10#-419开始检查课设结果,学生请自带证件。完成了检查的学生于星期五下午之前务必将课设器件整理好交给班长,课设论文交给学习委员。( 班长和学习委员按要求检查、并作登记)。课设论文:统一买论文纸,按要求完成。

上一篇:解读生态文明制度建设下一篇:基督徒祷告的话语大全