数字电路课程设计vhdl

2024-05-09

数字电路课程设计vhdl(共9篇)

篇1:数字电路课程设计vhdl

数字系统设计与VHDL课程大作业

具体要求

一、总体要求:

1. 本课程为专业必选考查类课程,以大作业加平时成绩的形式给定最终成绩。

2. 每班六个题目,每4-5为一组,自由组合,分工合作。10月26日上课

前请各班上交分组及组长情况表。

3. 所有作业需完成VHDL核心代码的设计,并采用QuartusII进行相关仿

真或平台实现,否则全组不及格。

4. 各小组推选一人于第十周(11月5日和9日)上讲台讲解题目设计思路、源代码分析、仿真结果及演示。

5. 各小组组长于11月30日前到老师处汇报题目的完成情况,并接受考核。

6. 每名同学于11月30日前提交一份大作业报告,并由班长统一送交老师。

二、大作业题目:

1. 频率计设计(平台实现)

1)

2)

3)

4)能对输入的方波信号频率进行采样; 采样频率范围为0~999999Hz,以1Hz为单位进行显示; 采用六个七段数码管显示当前采样的频率值; 本电路系统输入时钟为6MHz。

2. 方波发生器设计(仿真实现)

1)

2)

3)系统的输入时钟为6MHz; 系统输出频率为对系统时钟的1~32分频;(5位二进制输入); 系统输出频率的占空比可调,分为25%、50%、75%三档;(采用2位二进制

位输入控制)。

3. 竞赛抢答器设计(平台实现)

1)

2)抢答器的输入路数为8路;(8位二进制输入)当主持人宣布开始(按下某一键时为有效),抢答时当某一方先按下按键,其

他键则失效;

3)

4)

5)用1个七段数码管显示抢中的路编号; 系统复位键按下后,进行下一轮抢答; 系统的输入时钟为6MHz。

4. 交通灯控制系统设计(平台实现)

1)交叉路口为十字路口;

2)

3)每路口设直行、左转、右转的方向指示灯; 每个方向指示灯有三种颜色,即红、绿、黄三色。为简化设计,右转指示灯常

绿;黄灯闪动时间间隔为0。5S,持续时间自定义。

4)

5)每路口需用两个数码管显示允许通行或禁止通行的时间; 系统的输入时钟为6MHz。

5. 点阵字符显示控制器设计(仿真实现)

1)

2)

3)用16*16点阵的发光二极管显示字符; 可显示字符为0~9的数字字符与A~F英文字母; 输入为四位二进制矢量。

6. 万年历及电子钟设计(平台实现)

1)

2)

3)

4)能显示年、月、日、时、分、秒、星期,共需15个数码管; 年、月、日、时、分、星期均需可调; 系统默认时间为2000年01月01日00时00分00秒星期6; 系统输入时钟为6MHz。

三、大作业报告要求:

1. 题目功能分析;

2. 总体模块划分;

3. 代码实现;

4. 仿真结果;

5. 设计心得、体会;

6. 报告采用统一的A4封面,其中1、2、5项必须为手写,3、4项为打印。

7. 网络工程专业不再进行期末考试,期终成绩以作业测试、讲解、报告综

合评定,计算机专业还需要进行闭卷考试,但作业测试、讲解、报告占主要部分。

篇2:数字电路课程设计vhdl

2.课程设计任务书(题目,设计要求,技术指标等)

3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。3.目录

4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。⑷ 用protel画原理电路图。

(5)用Multisim或者Proteus画仿真图。

5.总图。

6.课题小结(设计的心得和调试的结果)。7.参考文献。

二、评分依据:

①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。

三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)

1、基于DC4011水箱水位自动控制器的设计与实现

水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。

2、基于CD4011声控、光控延时开关的设计与实现

要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。

3、基于CD4011红外感应开关的设计与实现

在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。

4、基于CD4011红外线对射报警器的设计与实现

设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。

5、基于CD4069无线音乐门铃的设计与实现

音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲,然而在一些已装修好的室内,若是装上有线门铃,由于必须布线,从而破坏装修,让人感到非常麻烦。采用CD4069设计一款无线音乐门铃,发射按键与接收机间采用了无线方式传输信息。

6、基于时基电路555“叮咚”门铃的设计与实现

用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够发出音色比较动听的“叮咚”声。

7、基于CD4511数显八路抢答器的设计与实现

CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编码,优先,锁存,数显和复位。

8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通过调节电位器旋钮,可调整彩灯的流动速度。

9、水位指示的设计与实现

电路的功能是检测容器内的水位。把探头分别装在容器的底部、中部和顶部。通过3根导线与电路板连接,而3个LED分别代表不同的水位。

10、基于数字电路双向炫彩流水灯的设计与实现

电路由无稳态多谢振荡器、可逆计数器、三八线译码器和发光二极管组成;实现流水灯正反向循环旋转。

11、基于数字电路六位数字钟的设计与实现

设计一款纯数字电路打造的6位数字时钟。数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。

12、八路声光报警器的设计与实现

八路声光报警器中八位优先编码器CD4532将输入D0~D7的八路开关量译成三位BCD码,经BCD锁存/七段译码/驱动器CD4511译码,驱动共阴极数码管显示警报电路0—7,路输入开关中的任一路开路,显示器即显示该路号,发出数码光报警;同时优先编码器CD4532的GS段输出高电平,使开关三极管饱和导通,启动声报警电路工作。声报警电路由时基集成电路NE555和六反相器CD4069组成。

13、基于CD4060梦幻灯的设计与实现(基于proteus仿真)

设计一款电路,使其具有多种美丽的声光效果,三种颜色的LED随机组合,五彩斑斓,配有生日快乐音乐芯片,闪光的同时有生日快乐音乐播放,蜂鸣器发生,音乐芯片直接可以装到电路板上,备有电源开关,方便控制,可以外接交流电源或电池。可作生日礼物相送。

14、变音警笛电路的设计与实现 设计一款电路,该电路采用两片NE555时基集成电路构成的变音警笛电路,能发出“呜-哇-呜-哇”的警笛声。

15、魔幻LED摇摇棒的设计与制作

“摇摇棒”是一种利用我们的“视觉暂留效应”工作的高科技电子玩具。接通电源后,它上面的一列LED(发光二极管)不停地闪烁,当你摇动它时,会看见空中梦幻般的浮现一个个笑脸、爱心等图案或者文字。“摇摇棒”使用了一块单片机。通过编写程序,在它内部存储若干幅图形和文字,用一只轻触按钮来选择要显示的内容,并且使用一只动作传感器开关来确保显示正常。

16、基于数字电路NE555、CD4017LED骰子的设计与实现

由555组成的多谐振荡器和CD4017十进制计数器/脉冲分配器构成。7个发光二极管模拟骰子的点数,当按下按钮1秒以上,骰子上的发光二极管高速循环点亮,之后循环速度越来越慢并最终随机停止于某个点上。

17、数显计数器的设计与实现

不需要编程的计数器模块,有3个数码管显示,使用14553和14511芯片进行控制驱动。

18、基于555简易催眠器的设计与制作

时基电路555构成一个极低频振荡器,输出一个个短的脉冲,使扬声器发出类似雨滴的声音

19、基于数字电路电动机转速表的设计与实现

在电动机转动时,人眼无法统计电动机单位时间转转的圈数,即使电动机每秒钟只转动几圈,我们也无法准确的数数来得到电动机每分钟的转动圈数。设计一款电动机转速表来计数,最大可以显示999,如果需要显示更大的数字,还可以自行增加CD40110和数码管,每增加一级,计数可增大10倍再加上9。

20、基于CD4011路灯开关模拟电路的设计与实现

从节约用电的角度出发,路灯开关在每天傍晚时全部灯亮,后半夜行人稀少,路灯关掉一半,第二天清早路灯全部关闭。

21、数字秒表的设计与实现

单稳态触发器,时针发生器及计时器,译码显示单元电路的应用

22、基于CD4011声光控带灯头开关的设计与实现

开关选用CD4011集成块为延时电路,选用1A单向可控硅以及性能稳定的光敏电阻和优质的驻极体组成的声光控动作电路

23、基于数字电路两位计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.24、数字频率计的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

25、基于数字电路自动温控报警电路的设计与实现

现实生活中,常常需要进行温度控制。当温度超出某一规定的上限值时,需要立即切断电源并报警。待恢复正常后设备继续运行。设计一款温度控制电路,电路采用LM324作比较器,NE555作振荡器,十进制计数/译码器CD4017以及锁存/译码/驱动电路CD4511作译码显示达到上述要求。

26、基于数字电路两位自动计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555构成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,则振荡频率愈低。

27、基于数字电路数字显示频率计电路的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

28、基于CD4017流水灯的设计与实现

CD4017流水灯由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

29、基于CD4017六路回闪灯的设计与实现 电路通电后,六个发光管先依次点亮,再全部熄灭,然后反方向依次点亮,完成一个循环,接着进行下一个循环。电路由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

30、基于CD4017摩托车闪灯的设计与实现

电路由多谐振荡电路和CD4017构成,实现三组发光管循环显示。

31、基于CD401712路回闪灯的设计与实现

电路由555组成的多谐振荡器和CD4017十进制计数/译码电路构成。

篇3:VHDL在数字逻辑电路中的应用

VHDL主要用于描述数字逻辑电路的结构、功能等。用VHDL进行数字逻辑电路的设计有许多优点:一是与其它的硬件描述语言相比, VHDL具有更强的行为描述能力, 能够避开具体的器件结构, 从逻辑行为上描述和设计大规模电子系统的保证;二是VHDL丰富的仿真语句和库函数, 使得在任何系统的设计早期就能查验系统的功能可行性, 随时可对设计进行仿真模拟;三是支持自顶向下的设计方法, 而且还支持同步电路、异步电路、FPGA以及其它随机电路的设计。

在教学中, 由于大多数学生在学习EDA技术与VHDL这门课程之前, 都已经学习过C语言程序设计, 有C语言编程的基础, 而VHDL的语法规则和C语言十分相似, 因此采用VHDL语言。VHDL语言是一种标准的易学易用的硬件描述语言, 可运行在多种仿真工具中, 比如QuartusII, 本文的程序运行于QuartusII6.0软件平台。

1 电路设计

全加器是能对两个1位二进制数进行相加并考虑低位来的进位, 即相当于3个1位二进制数相加, 求得和及进位的逻辑电路。我们设在第i位二进制数相加时, 被加数、加数和来自低位的进位数分别为Ai、Bi、Ci-1, 输出本位和及向相邻高位的进位分别为Si、Ci。根据全加器的逻辑功能可列出真值表如下表所示。根据真值表写出全加器的输出逻辑函数为:

2 仿真设计

使用VHDL描述两个二进制数全加的的程序如下:

建立波形文件并仿真, 其仿真输出结果如图所示。

从仿真波形图可以看出, 完成了两个二进制数全加的电路设计。这个例子说明在教学中引入VHDL语言, 程序容易书写, 过程简单, 并且从波形图上可以直接观察仿真结果, 学生很容易接受和掌握。

3 结束语

VHDL是一种非常实用的硬件描述语言, 有易学易用的特点, 只要有C语言编程基础, 学习VHDL语言很快, 学生容易掌握, 效果好。将VHDL语言引入到数字电路教学中, 对教学有很好的效果, 会事半功倍。

参考文献

[1]吴涛.FPGA设计常见问题及解决方法.科技创新导报, 2009 (27) :12-13

[2]胡开胜.基于FPGA的测井参考信号源设计.世界科技研究与发展, 2004 (3) :13-16

篇4:VHDL在数字电路设计中的应用

关键词:VHDL 数字电路 MAX+plusⅡ 仿真

0 引言

VHDL是70年代末和80年代初,由美国国防部为超高速集成电路VHSIC(Very Hight Speed Intergrated Circuit)计划提出的硬件描述语言VHDL(VHSIC Hardware Description Language)。1983年7月,由Intermetrics公司和Texas Instruments公司组成开发小组,承担了提出语言版本并开发其软件环境的任务。其目的在于所开发的硬件描述语言具有功能强大、严格、可读性好、通用性好、移植性好等特点,避免重复劳动,省时省力并能降低开发电子新产品的费用。利用计算机辅助设计自上而下的逐层完成相应的描述,并与大规模可编程器件相结合,使设计出的电路系统速度更快、体积更小、重量更轻、功耗更小、稳定性更高,大大提高了产品的竞争能力。VHDL语言已日益成为一种通用的硬件设计交换媒介, MAX+PLUSⅡ计算机辅助工程软件,提供了全面的逻辑设计能力,从编辑、综合、布线到仿真、下载验证一气呵成,十分方便。

1 VHDL语言特点:

传统的设计方法是基于中小规模集成电路器件进行设计(如74系列及其改进系列、CC4000系列、74HC系列等都属于通用型数字集成电路),而且是采用自底向上进行设计:①首先确定可用的元器件;②根据这些器件进行逻辑设计,完成各模块;③将各模块进行连接,最后形成系统;④而后经调试、测量观察整个系统是否达到规定的性能指标。

VHDL与电路图设计电路的方式不同,和电路图设计方式相比,具有以下特点:①易于修改;②设计能力更强;③VHDL语言很方便:独立于器件设计;相同的程序代码可以用于不同厂家生产的器件。④VHDL具有电路仿真与验证功能,仿真结果直观、形象。

2 VHDL程序的基本结构:

VHDL程序的基本结构可分为:①LIBRARY和PACHAGE声明区;②ENTITY定义区;③ARCHITECTURE定义区;④CONFIGURATION定义区。

2.1 LIBRARY和PACKAGE声明区:Library(库)是用于存放预先编译好的Package(程序包)。Package(程序包)中定义了基本的常数,数据类型,元件及子程序等。作用:声明在实体和结构体定义中将用到的数据类型、元件或子程序等。

声明格式:Library 库名;Use 库名. PACKAGE名.All;

2.2 ENTITY定义区:ENTITY(实体)用于定义电路的外观,即I/O端口的类型和数量。

定义格式:Entity 实体名 is。Port(a:inbit;b:in bit;c:out bit);

End 实体名;其中a、b、c是端口名,in、out是端口模式,bit是数据类型。

2.3 ARCHITECTURE定义区:定义了实体的实现。即电路的具体描述,说明电路执行什么动作或实现功能。

定义格式:Architecture 结构体名 of 实体名 is

[声明语句;(内部信号、变量、常数,元件,子程序声明)]

Begin并行描述语句;End 结构体名;

2.4 CONFIGURATION定义区:当实体有多个结构体时,系统默认实体选用最后一个结构体,利用CONFIGURATION语句可以任意选择采用哪一个结构体。

定义格式:Configuration 配置名 of 实体名 is

for选用的结构体名end for;end configuration 配置名;

3 十进制计数器的VHDL程序设计:

下面以十进制计数器为例简单的介绍一下,用VHDL语言进行电路设计的具体过程。

3.1 功能:此十进制计数器的有一时钟使能输入端ENA,用于锁定计数值。当高电平时计数允许,低电平时禁止计数。

3.2 语言程序设计:library ieee;use ieee.std_logic_1164.all;→library声明区;entity cnt10 isport(clk,clr,ena:in std_logic;—计数、清零、计数使能信号;co:out std_logic;—计数进位;cq:out integer range 0 to 15);—4位计数结果输出end cnt10;entity定义区architecture behav of cnt10 is signal cqi:integer range 0 to 15;begin process(clk,clr,ena)begin if clk=‘1 then cqi<=0;—计数器异步清零elsif clk'event and clk=‘1 then if ena='1' then if cqi<9 then cqi<=cqi+1;—等于9,则清零else cqi<=0;end if;end if;end if;end process;process(cqi)beginif cqi=9 then co<=‘1;—进位输出else co<=‘0;end if;end process;cq<=cqi;end behav;architecture定义区

3.3 VHDL语言的开发环境:以MAX+plusⅡ作为运行环境,支持原理图、VHDL和Verilog语言文本文件,以及波形与EDIF等格式的文件作为设计输入,并支持这些文件的任意混合设计。MAX+plusⅡ具有门级仿真器,可以进行功能仿真和时序仿真,能够产生精确的仿真结果。在MAX+plusⅡ环境下用VHDL语言设计电路的全过程包括以下几个步骤:①源文件的编辑:点击“新建文件夹”在对话框中选择“Text Editor file ”,按 “OK”按钮,即选中了文本编辑方式。然后将上述程序输入。输入完毕后,选择菜单“File→Save”,出现对话框,然后在“File Name”框中输入文件名,然后按“OK”,文件即被存入。②系统的编译:在编译系统文件F_ADDER.GDF前,需要设置该文件为顶层文件Project。选择菜单“File”→“Project”→“Set Project to Current File”,当前的工程即被设为F_ADDER。选择“MAX+plusⅡ”→“Compiler”菜单,可运行编译器,检验程序是否正确。③系统仿真:选择菜单“File”→“New”,在对话框中选择“Waveform Editor File”,按“OK”后将出现波形编辑器子窗口。选择菜单“Node”→“Enter Nodes from SNF”,在出现的对话框中选择“list”键,然后按“=〉”按钮,在按下“OK”键,选中的信号将出现在波形编辑器中。编辑好输入信号保存,再按下“MAX+plusⅡ”→“simulator”出现仿真结果。

CNT10的仿真波形如图1:

该仿真波形,很直观的将十位计数器的计数过程展现出来,同时也验证了vhdl程序设计的可实现性。④系统下载:程序设计成功后,就可以下载到芯片上进行实验操作了。如果MAX+plusⅡ是安装后第一次调用编程器,则MAX+plusⅡ讲弹出对话框选择型号,以便调用正确的编程器驱动程序。其选择方法是启动“Programmer”,选菜单“Options”→“Hardware Setup”,在“Hardware Type”下拉栏中选“ByteBlaster”,按“OK”即可。用鼠标双击编程器子窗口或者选择“MAX+plusⅡ”→“Programmer”菜单,可调出编程器(Programmer)窗口。在将设计文件编程配置进硬件芯片前,需连接好硬件测试系统。一切连接就绪后,方可按下编程器窗口中的“Configure”按钮,无误后,即可将所涉及的内容下载到芯片中。下载成功后弹出的小窗口中显示“Configuration Complete”。接下去就可以在实验系统上进行实验验证。

4 小结

通过对十进制计数器的VHDL的仿真实现,简单的介绍了用VHDL语言进行数字电路设计的方法、步骤,表明了VHDL在数字电子电路的设计中具有设计方法灵活、硬件描述能力强、仿真结果直观等特点。随着集成电路技术的高速发展,数字系统迅速朝着更高集成度、超小型化、高性能、高可靠性和低功耗的系统级芯片方向发展,从而使电路设计逐步向高层设计转移。作为一种设计技术,VHDL已成为数字电路设计时一种重要的方法,为我们的学习、工作提供了很大的帮助。

参考文献:

[1]曾繁泰,陈美金编著.VHDL程序设计.清华大学出版社.2000.

[2]刘润华,单亦先编著.电子设计自动化.石油大学出版社.2001.9:35-41.

[3]谭会生,张昌凡编著.EDA技术与应用.西安电子科技大学出版社.2001.

[4]王振红编著.VHDL数字电路设计与应用实践教程.机械工业出版社.2003.6.

篇5:数电课程设计+数字电路课程设计

红 外 遥 控 器

院系:

专业:电气工程及其自动化班级:05电气(2)班 姓名:

学号:0520010221

目录

(一)概述………………………………(2)

(二)设计任务和要求…………………((三)元器件选择………………………((四)方案设计及其原理………………((五)总述及心得………………………((六)参考文献…………………………(2)3)3)5)6)

红外遥控器

一 概述

目前,遥控电路在我们的生活中已经运用得越来越广泛。生活中,从电视遥控器到空调遥控器,从电冰箱遥控器到计算机遥控器,无一不把人们从以前的繁杂的手动操作解放出来,甚至是在我们现代生活平时最广泛的手机应用中也出现了遥控操作。不得不承认,遥控装置已经日益在社会生活中占据更大的比重,因此,我们对遥控电路进行研究和设计是很有必要的。在此次电子电路课程设计中,我之所以选择了对遥控器电路进行设计,也正是由于遥控设备的重要性在社会生活中越来越多的突显出来,遥控电路的设计就目前我们大学生来讲还是比较有难度的,我觉得这是一个不错的自我挑战的机会。而且,我一直以来都对遥控电路感兴趣,我相信这一定能够给我今后的学习更多的帮助。二 设计任务和要求

下面,我就对相对较简单的对一个电机进行控制的双路红外遥控器的电路设计的要点等进行一番阐述:

1.外遥控器电路设计要点:

一个性能良好的红外发射和接收电路需要考虑四方面要求。

第一,须具有良好的抗环境干扰性能,因为往往使用遥控设备的周围环境都是相对比较复杂的,这主要表现在目前遥控设备多作为家用,而家庭环境里各种复杂的电器分布密度是很大的,必须要考虑到其它电器设备的干扰。

第二,是能够进行遥控动作的空间范围达到5m以上距离。这样一来,遥控操作时就避免了很多不必要的麻烦。

第三是避免不必要的误控制。

第四是良好的发射电路要有一个与之相适应的接收处理电路。要达到这四方面要求就要对36—42KHz的方波信号对编码信号进行调制处理。由于这里设计的红外遥控电路只实现两路控制,所以编码信号采用频率单一的方波信号。

2.关于红外遥控系统

通常红外遥控系统由发射和接收两部分组成,如图1所示。

在发射电路原理框图中,方波发生器1用两个开关控制产生两个不同频率的方波,方波发生器2产生36—42KHz的调制波形。两个方波信号经放大器放大后驱动红外管发射红外光。

如图2所示,在接收电路原理框图中,红外接收部分接收到红外信号后,通过译码电路译码驱动电机正转和反转。

三 元器件选择

在所设计的电路中,因为要涉及到编码、红外传输以及译码等环节,因此,在电路中,采用了四个二输入的与非门、不同大小的电阻电容若干、红外发光管、译码IC、电机等元器件,其中,在红外信号的发射电路中,不同的电阻电容的组合就产生不同的频率的方波,从而驱动红外发光管发出红外信号。四 方案设计及其原理

1.电路原理图设计及工作原理(1)发射电路原理图及工作原理

电路原理图如图3所示,设计思想如下:

由于所设计的红外遥控电路控制电机的正转和反转,所以要求发射和接收电路都要有两种工作状态,即要求发射电路能够产生两个频率发射出去,在电路图上用两个开关来实现的。20K的可调电阻辅助27K的电阻使发射电路产生36—42KHz的调制波形。

而发射电路的工作原理分析如下:

图3中,四个与非门采用集成芯片CC4011四二输入与非门。采用此集成芯片的好处是其外围电路简单,容易起振。CC4011与非门本身就带有与门电路,这样就克服了与门电路性能差的缺点。当合上开关Kl—2时,47O千欧电阻与2000PF电容产生大约310.lHz频率的方波;合上开关K2—2时,910千欧电阻与2000PF电容产生大约151.2Hz的方波,以上两个频率方波是通过F1、F2两个与非门实现的。F3、F4与外围元件产生36—42KHz的调制波形。两种波形通过放大电路后,即可驱动红外发光管发出红外线遥控信号。

如图3所示的电路工作在3v电源下,因此电源部使用两节1.5v电池代替即可,也方便延长遥控距离。而0.1uF的电容则起到滤波的作用,10欧的电阻则用作控制发射管电流的大小。

(2)接收电路原理图及其工作原理

电路原理图如图4所示:

本电路采用LM567音频译码IC来进行设计,电路每接收到一次遥控信号,LM567的8脚就翻转低电平。但在未接到遥控信号时,由于LM567的8脚输出常态高电平,四个三极管的基极电位都为高电位,没有形成电位差,电机不会转动。而当电路接收到一路遥控信号时,被其中一个音频译码器选中,次音频译码器8脚为低电平,电流通过BG1和BG2,被BG4翻转成高电平,BG2不工作。另一个译码器没有接收到遥控信号,8脚为常态高电平,电流流经BG3、BG4后在BG4处翻转,BG3不工作,这样就使BG1和BG4之间形成电位差驱动电机转动。同理,当另一个译码器选中发射电路发出的红外遥控信号后,8脚翻转成低电平,使BG3和BG2工作形成高低电位差驱动电机向相反的方向转动。两个过程刚好实现了电机的正转和反转。五 总述和心得

在以上设计的电路中,它实现了遥控电路对被控对象的两种功能控制。在此基础上,还可以设计更加复杂的多路红外遥控器。

篇6:数字电路课程设计数字时钟实现

《电子技术课程设计报告》

设计题目:数字钟的设计与制作

专业班级:13级《物联网工程》2班 姓名:白雪 王贞 张莹 学号:068 108 131 指导老师:刘烨

时间:2015年5月15日~ 2015年 5 月30日 地点:四教4414实验室

海南大学儋州校区应用科技学院

摘要:

数字时钟是一种用数字电路技术实现秒﹑分﹑时的计时装置,与传统的机械钟相比,它具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因而得到了广泛的应用。小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。数字时钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

本课程设计要用通过简单的逻辑芯片实现数字时钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用14位二进制计数器CC4060芯片、7双BCD同步加计数器CD4518芯片、十进制加计数器/7段译码器CD4033芯片等连接成60和12进制的计数器,再通过七段数码管显示,构成了简单数字时钟。关键词:数字时钟;555芯片;计数器;数码管

海南大学儋州校区应用科技学院

1设计目的................................................................................................................................4 1.1设计指标.........................................................................................................................4 2课程设计任务及要求............................................................................................................4 2.1 设计任务........................................................................................................................4 2.2 设计要求........................................................................................................................4 3系统设计................................................................................................................................4 3.1 设计思路........................................................................................................................4 3.2 系统设计........................................................................................................................5 3.2.1 原理图及说明.......................................................................................................5 3.2.2 具体设计.................................................................................................................6

3.2.2.1.小时计时电路...............................................................................................6 3.2.2.2.分钟计时电路...............................................................................................6 3.2.2.3.秒钟计时电路...............................................................................................6 3.2.2.4.手动时间校准电路的设计...........................................................................6 3.2.2.5.光敏电阻的设计...........................................................................................6 主要元器件的介绍...............................................................................................................7 4.1 40161------4位二进制同步计数器(有预置端,异步清除).....................................7 4.2 CD40106..........................................................................................................................7 4.3 CD4009............................................................................................................................8 5 电路板的安装与测试...........................................................................................................8

海南大学儋州校区应用科技学院

1设计目的数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械钟相比,他具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表,大到车站﹑机场等公共场所的大型数显电子钟。

我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。

1.1设计指标

1.时间以12小时为一个周期; 2.显示时、分、秒; 3.具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

2课程设计任务及要求

2.1 设计任务

1、设计一个有“时”,“分”,“秒”(11小时59分59秒)显示且有校时功能的数字时钟钟;

2、用中小规模集成电路组成数字时钟。

2.2 设计要求

1.用555定时器设计一个秒钟脉冲发生器,输入1HZ的时钟;(对已有1kHz频率时钟脉冲进行分频);

2.能显示时、分、秒,12小时制; 3.设计晶体震荡电路来输入时钟脉冲;

4.用双BCD同步加计数器CD4518芯片设计一个分秒钟计数器,即六十进制计数器.;

5.用十进制加计数器/7段译码器CD4033芯片设计一个12小时计数器, 6.译码显示电路显示时间。

3系统设计

3.1 设计思路

数字电子钟由信号发生器、“时、分、秒”计数器、LED数码管、校时电路、整点报时电路等组成。工作原理为时钟源用以产生稳定的脉冲信号,作为数字种的时间基准,要求震荡频率为1HZ,为标准秒脉冲。将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每 累计60分,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用12进制计数器,可以实现12小时的累计。LED数码管将“时、分、秒”计数器的输出状态显示。校时电路是来对“时、分、秒”显示数字进行校对调整。

海南大学儋州校区应用科技学院

本设计使用芯片数最少、计时准确、动态显示的节电工作方式(耗电量仅为静态显示模式的1.8%)、调试方便、时间校准方便。电路中的振荡器XT为目前多数石英晶体电子表中使用的频率为215=32768HZ的石英晶体,经IC(2CC4060)组成的14级2分频和IC3A(CD4518)组成的一级2分频后可得到1HZ的“秒”脉冲信号。用6个40161分别控制6个数码管,用逻辑门电路选择各个数码管的最大数字,比如说输出9,就要对应的输入二进制数1001,输出3对应0011,1对应 0001。逻辑门电路选择好最大数,就接入下一个40161的 CLK 端,来进位。MR端要接入与非门和40106之间,读取最大数。我们设计的是实现0~9,0~5,0~1,0~2的进位方式。从秒开始,0~59,分0~59,时0~11.3.2 系统设计

3.2.1 原理图及说明

时LED数码管分LED 数码管秒LED数码管时计数(十二进制)分计数(六十进制)秒计数(六十进制)时校准分校准晶体振荡器分频器(1)电路原理框图

(2)电路原理图

海南大学儋州校区应用科技学院

用555电路构成的1KHz多谐振荡器,调节电阻R3可以改变输出信号频率。74LS160是二,五,十进制同步加法器,用三片74LS90构成三级十分频器,将1KHz矩形波分频得到1Hz基准秒计时信号。由于74LS160是十进制计数器,分别将个位接成十进制计数器,十位接成六进制计数器,分别将个位的RCO输出端接十位的9脚端,就构成60进制计数器,用两个相同的60进制计数器分别做作为秒,分计时,并在个位和十位输出端接上数码显示管显示小时计数器直接采用整体反馈清零法构成24进制计数器。工作原理:振荡电路产生的1KHZ脉冲信号经三级十分频电路分频后产生的1HZ脉冲信号输入74LS90N连成的60进制秒计数器,再由秒计数器每60秒进位输出给60进制分钟计数器,分钟计数器满60后产生进位信号输入给24进制小时计数器,从而实现12小时制电子钟的功能。

3.2.2 具体设计

3.2.2.1.小时计时电路

小时计时电路由两块4033B和4081芯片7段译码器组成12进制计数电路。该电路译码器能识别数字00到11的计数,当接收到从“分”传来信号到芯片4033的第1个管脚时,使得在小时的计数模块进行加1,每接收到一次信号,即进行一次计数,计一次为一小时,同时将信号反馈回“分”,使得将计数清零。即可可以将小时从“00”到“11”后,在继续计时时,计数器计数将会被置回“00”。使整个计数器在小时的计数模块成为从“00”到“11”到清零循环回“00”到“11”这样的12进制的12个稳定状态的计时方法。3.2.2.2.分钟计时电路

与小时计时模块相比,分钟计时模块相对简单些。它的电路原理是由于两块4033B芯片组成的60进制的分钟计数方式,该译码器电路能识别信号59,整个计数计时方式是从“00”到“01”“02”.....“59”在到“00”的共60个稳定状态的自动连续循环模式。3.2.2.3.秒钟计时电路

秒钟的计数又有些相同与不同。它同样是由4033B两块芯片进行构成60进制计数。该译码器识别信号至59,然后清零循环计数。计数方式与分钟计数方式一样。但除了4033B芯片外,外加了4060和4518两块芯片。外加了两块芯片使得在秒钟计数模块有了自动的计数方法。而不是通过外来校准不停的进行调整计数。

3.2.2.4.手动时间校准电路的设计

S1和S2分别为“小时”与“分”的手动校准电路。S1按动一次,在小时计时部位计数加1,S2按动一次,在分钟计时计数数码管显示上显示加1,。滤波电路C3、R10和C4、R13分别用来吸收S1和S2的动作产生的电压抖动。二极管D1、D2分别为“小时”与“分”校准电路与相邻下一级计数器“清零”端R之间的单向隔离文件。R11、R12为手动校准电路的限流范围。3.2.2.5.光敏电阻的设计

光敏电阻R1~R6分别为数码管DS1~DS6夜间工作在节电模式时的亮度自动控制电路。光敏电阻可选用MG41-22或MG45-

12、或5606、6106型(亮电阻≤2KΩ,暗电阻≥900KΩ)。每只数码管的公共端第3(8)脚通过一光敏电阻串联晶体开关管9013接地。当夜晚室内光线较暗时,数码管自动降低亮度。数码管DS1~DS6采用超高亮度的数码管5011型,这种LED数码管耗电为普通数码管的十分之一,每个段码的驱动电流仅为1mA,就可以发出普通数码管20mA

海南大学儋州校区应用科技学院

工作电流时相同的亮度。当其工作电流达20mA时,发出光亮足以保证在室外阳光下正常显示。该控制电路可使数码管显示的供电电流降低到原来的1/30,即为10~15 mA的水平。开关管Q1~Q3选用9013(40V、0.5A、0.625W、低频)可满足控制两个数码管阴极电流通断的要求。本设计还充分利用芯片CD4033的“零”数字消隐功能,即当十位上海数字为零时,该数码管不亮。例如,当时间为9时8分5秒,不是显示“09”时“08”分“05”秒,而是显示“9”时“8”分“5”秒,该设计方案可使数码管显示的供电电流降低到原来的1.8%,即为5~9 mA的水平,可大大降低电源的能耗。主要元器件的介绍

4.1 40161------4位二进制同步计数器(有预置端,异步清除)

40161是4位可编程计数器,复位采用异步方式,当CLEAR为低电平时,使四个输出端均置为低电平,而与CLOCK、/LOAD或PE、TE输入的状态无关,/LOAD为低电平时,计数器无效,使输出端在下一时钟脉冲与设置的数据一致,并与PE、TE输入端的状态无关。

N位同步级联计数器可由超前进位电路实现,不需要外加控制,此功能由两个计数控制输入端和进位输出端完成。PE、TE输入端均为高电平时,计数有效,当计数超过“15”时,进位输出端CARRY OUT(CO)即产生一正向输出脉冲,其脉冲宽度约等于Q1输出正向宽度,此正向溢出进位脉冲可使下一级联电路有效,时钟无论为高电平或低电平,均可实现PE或TE输出的逻辑转换。

4.2 CD40106 CD40106由六个施密特触发器电路组成。每个电路均为在两输入端具有施密特触发器功能的反相器。触发器在信号的上升和下降沿的不同点开、关。上升电压(V T+)和下降电压(V T-)之差定义为滞后电压。它的2 4 6 8 10 12引脚是数据输出端,1 3 5 9 11 13是数据输入端,14是电源正,7接地。

CD4016引脚图

海南大学儋州校区应用科技学院

4.3 CD4009 CD4009是十六进制的CMOS缓冲器/变换器 电路板的安装与测试

为了方便检测,电路有6位数码管安装在CD4033的上方,分别显示出时“00~11”分“00~59”秒“00~59”的时钟显示。根据电路的设计特点,在安装过程中,基于测试同时进行。在安装测试顺序是①1HZ脉冲信号的产生电路,运用逻辑笔测试芯片IC34的Q0端的“1HZ”的脉冲输出信号;②“秒钟”计数/译码/显示电路,显示0秒钟~59秒钟,运用逻辑笔测试芯片IC5A第3期的“满60秒进一”的进位脉冲输出信号;③“分”钟计数/译码/显示电路,显示0分钟~59分钟,运用逻辑笔测试芯片IC58第四脚的“满60进一”的进位脉冲输出信号;④“小时”计数/译码/显示电路,显示0小时~12小时,运用逻辑笔测试芯片IC5C第10脚的“清零”脉冲输出信号;⑤分别按动开关S1、S2,测试时间校准电路的功能及可靠性;⑥用厚纸片遮蔽敏光电阻的上方,观测数码管亮度显示接受控制前后的响应情况。6个单元电路组装合格后,电路可以显示12小时内的任一时间。时间校准电路组装完成后,可以校准当前时间,并验证一昼夜

海南大学儋州校区应用科技学院

24小时的计时误差是否在一定范围内;然后在一定电源内测量整机最大工作电流是否也在一定范围之间。

海南大学儋州校区应用科技学院

海南大学儋州校区应用科技学院

篇7:数字电路课程设计--数字抢答器

一、本次课程设计目的

1.结合所学的数字电路的理论知识来完成数字电路课程设计。

2.在数字电路的课程设计中,熟悉数字电路的逻辑设计过程以及集成电路的使用。

3.学会利用一些没学过的IC来设计电路。4。学会用软件方法仿真电路。

二、本次课程设计安排

1、时间安排

略。

2、地点安排

S2403实验室。

智力竞赛抢答器 设计目的

(1)熟悉集成电路的引脚安排及使用方法。(2)掌握各芯片的逻辑功能及使用方法。(3)了解面包板结构及其接线方法。(4)了解数字抢答器的组成及工作原理。(5)熟悉数字抢答器的设计与制作。(6)学会用软件方法仿真电路。设计思路

(1)设计抢答器电路。

(2)设计可预置时间的定时电路。(3)设计报警电路。(选做)(4)设计时序控制电路。(选做)设计过程

3.1方案论证 数字抢答器总体方框图如图1所示。button,sw-spdt

图 1 数字抢答器框图

其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置于“开始”状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。3.2电路设计

抢答器电路如图2所示。

图2 数字抢答器电路

该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于“清除”端时,RS触发器的R端均为0,4个触发器输出置0,使74LS148的ST=0,使之处于工作状态。当开关S置于“开始”时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出Y2Y1Y0010,YEX0,经RS锁存后,1Q=1,BI=1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,1Q=1,使74LS148ST=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的YEX1,此时由于仍为1Q=1,使ST=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置于“清除”然后再进行下一轮抢答。

定时电路如图3所示。由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计。

图 可预置时间的定时电路

报警电路如图4所示。由555定时器和三极管构成的报警电路如图4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

图报警电路

时序控制电路如图5所示。时序控制电路是抢答器设计的关键,它要完成以下三项功能:① 主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进人正常抢答工作状态。

② 当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。③ 当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端ST。

图5的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自图 2中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出ST=0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出ST=1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,ST=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能③的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

图时序控制电路

4系统调试与结果

(1)组装调试抢答器电路。

(2)可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。

(3)调试报警电路。

(4)定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。

5主要仪器与设备

集成电路: 74LS148—1片,74LS279—1片,74LS48—3片,74LS192—2片,NE555—2片,74LS00—1片,74LS121—1片。

电 阻: 510Ω—2只,1KΩ—9只,4.7kΩ—l只,5.1kΩ—l只,100kΩ—l只,10kΩ—1只,15kΩ—1只,68kΩ—l只。

电 容: 0.1uF—1只,10 uF—2只,100 uF—1只。三极管: 3DG12—1只。(3DG12为普通高频小功率NPN型硅(材料)三极管,特征频率100MHZ,集电极最大直流耗散功率0.7W,0.3A/20V。)

其 它: 发光二极管—2只,共阴极显示器—3只。

6设计体会与建议

6.1设计体会

通过这次对数字抢答器的设计与制作,让我了解了设计电路的程序,也让我了解了关于抢答器的基本原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。此外,本实验也可通过EDA软件MAX PLUSⅡ实现。通过这次学习,让我对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。6.2对设计的建议

我希望老师在我们动手制作之前应先告诉我们一些关于所做电路的资料、原理,以及如何检测电路的方法,还有关于检测芯片的方法。这样会有助于我们进一步的进入状态,完成设计。参考文献

[1] 康华光.电子技术基础[M].北京:高等教育出版社,1999年

[2] 彭华林等编.数字电子技术[M].长沙:湖南大学出版社,2004年 [3] 金唯香等编.电子测试技术[M].长沙:湖南大学出版社,2004年 [4] 侯建军.数字电路实验一体化教程[M].北京:清华大学出版社,北京交通大学出版社,2005年

[5] 阎石.数字电子技术基础[M].北京:高等教育出版社,2001年

篇8:数字电路课程设计vhdl

数字硬件电路设计越来越精密, 但其故障的检测也越来越难。而数字电路的设计大都是用VHDL语言来描述的, 因此提出了一个在VHDL描述中自动插入故障容错结构的工具。采用这种工具来做容错电路的设计, 用户可以根据不同的需求在VHDL源码级自动做电路故障容错设计。

2 电路源码级故障容错的插入工具

数字电路自动化实现故障容错, 也就是在用VHDL语言设计数字电路时, 自动化的加入故障容错结构, 并且最后得到具有容错功能的VHDL描述的数字电路。这个自动化的过程用一个工具来实现, 也就是故障容错结构自动插入工具。该工具由六部分组成, 如图1 所示。

VHDL源码经过分析器转化成一种特殊的中间数据格式, 存储在设计库中;这种数据格式以有向无环图 (DAG) 的形式组织起来, 保存了VHDL完整的语义信息。用户通过用户接口输入某些信息, 来定位所需容错的关键部件及从故障容错器选择所用的容错器件。容错后的数据重新送回到设计库中, 用反编译系统再次恢复成VHDL代码。本文对基于硬件冗余技术对源码级容错结构插入过程进行阐述。

3 硬件冗余技术

硬件冗余技术采用在系统中多加的硬件资源, 包括被动冗余、主动冗余及主被动相结合三种形式。

被动冗余又称为静态冗余 (Masking Redundancy ) , 它不改变系统的结构, 靠附加的元器件来屏蔽掉故障元器件的作用。常用的被动冗余称为三模冗余 (Triple Modular Redundancy, TMR) 结构。系统由相同功能的三个模块及表决器构成, 三个相同模块同步运行, 三个模块的输出作为表决器的输入, 系统的输出是多数表决的结果。

所谓的主动冗余技术, 就是能让系统配置动态的改变, 从而消除故障对系统的影响, 同时补充系统冗余。当系统模块发生故障时, 依靠存储多个模块和故障检测机构, 通过系统内部的一次重组来切除或替换故障模块。

4 硬件冗余的插入过程

数字电路设计者在使用该工具时, 首先需要编写电路的VHDL源码、同时要提供采用的容错技术类型及想要的容错的位置 (设计单元名和需复制的对象名) 这些信息。

此处假定需要容错的位置是:设计单元A, 需复制的对象RESULT, 而容错技术采用硬件被动冗余中的三模冗余技术。插入技术主要由以下过程来实现。

4.1 三个新信号的拷贝

如图2 所示, 首先通过设计库的search (pname, sname) 函数从库中找到用户所输入的设计单元A, 然后再使用符号表的search (object_name, global) 函数从符号表中查找目标对象RESULT, 进行相对应的属性修改后, 清空temp。经过这些步骤后, 完成了三模冗余技术所需要的新对象的声明。

4.2 语句的复制

如图3 所示, 该流程图是对于语句的修改。

经过上面的步骤, 完成了三模冗余技术的对象复制部分, 将这些信息修改完成后再返存入设计库中, 实现了在数字电路的VHDL源码级进行故障容错结构的插入。

5 结语

利用自动化工具在数字电路的VHDL源码级进行故障容错结构的插入, 能够有效的提高设计者的工作效率。

摘要:在数字电路源码级进行故障容错, 是电路设计师需要考虑的问题, 而一个自动化的容错工具, 极大的提高了设计师的工作效率。本文在某种数据格式的基础上, 论述了故障容错的插入过程。

关键词:容错技术硬件冗余,VHDL代码

参考文献

[1]徐拾义.容错计算系统[M].武汉:武汉大学出版社, 2010.

[2]L.Entrena, C.Lopez, E.Olias.Automatic insertion of fault-tolerant structures at the RT level.7th IEEE Int.On-Line Testing workshop, July2001, 48-50.

[3]齐星刚.VDHL编译器设计技术研究[D].[硕士学位论文].成都:四川大学, 2013.

篇9:数字电路课程设计vhdl

关键词:VHDL;电路系统;数据选择器

中图分类号:TN79文献标识码:A文章编号:1009-3044(2007)12-21658-01

The Design of Circuit Systems Based on VHDL

MIN Xiang-guo

(Physics and Electronic Engineering Department,Zaozhuang University,Zaozhuang 277160,China)

Abstract: Designing circuit systems using VHDL has the advantages of comprehensive design technologies,flexible design methods and wide range support. The method and process using VHDL to design circuit system is presented through an example of a multiplexer design. The simulation result shows that it can reduce the design difficulty of digital system and improve efficiency.

Key words: VHDL;circuit system;multiplexer

1 引言

VHDL (Very High Speed Integrated Circuit Hardware Description Language)是美國国防部在20世纪80年代中期开始推出的一种通用的硬件描述语言。作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,VHDL语言在电子工程领域已成为事实上的通用硬件描述语言。VHDL为设计者提供了一种全新的数字系统的设计途径。使用VHDL语言不只是意味着代码的编写,更是为了便于建立层次结构和元件结构的设计,利用VHDL编写的电路模块可被重复利用。故可以简化设计者的设计工作,大大缩短设计时间, 减少硬件设计成本,提高工作效率。

2 VHDL的优点

VHDL主要用于描述数字系统的结构、行为、功能和接口。应用VHDL进行工程设计的优点是多方面的:

(1)具有更强的行为描述能力,是系统设计领域最佳的硬件描述语言。

(2)具有丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

(3)VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能。该功能能满足市场大规模系统高效、高速的需要,可替代多人甚至多个代发组共同工作。

VHDL的许多优点给硬件设计者带来了极大的方便, 自然被广大用户接受, 得到众多厂商的大力支持。使用VHDL设计数字系统已成为当今电子设计技术的必然趋势[4 ] 。

3 “自顶向下”( Top-Down) 的设计方法

随着数字系统设计规模的急剧加大,“自顶向下”的设计方法成为现代EDA设计的趋势。传统的系统硬件设计方法是采用自下而上的设计方法。即系统硬件的设计是从选择具体元器件开始的,并用这些元器件进行逻辑电路设计,完成系统各独立功能模块设计,然后再将各功能模块连接起来,完成整个系统的硬件设计。而在VHDL的设计中,采用“自顶向下”( Top-Down) 的设计方法,设计常用流程图如图1所示,系统被分解为各个模块的集合后,可以对设计的每个独立模块指派不同的工作小组,这些小组可以工作在不同地点,甚至可以分属不同的单位,最后将不同的模块集成为最终的系统模型,并对其进行综合测试和评价。“自顶向下”设计的基本步骤为:

(1)分析系统的内部结构并进行系统划分,确定各个模块的功能和接口;

(2)编写程序,输入VHDL代码,并将其编译成标准的VHDL文件;

(3)VHDL 源代码进行综合优化处理;

(4)配置,即加载设计规定的编程数据到一个或多个LCA器件中的运行过程,以定义器件内的逻辑功能块和其互连的功能。

(5)下载验证,通过编程器或下载电缆载入将步骤(4) 得到的器件编程文件下载到目标芯片中,以验证设计的正确性。

图1 VHDL工程设计流程图

4 VHDL的设计举例

下面以4选1数据选择器为例说明使用VHDL的设计过程。4选1数据选择器框图如图2所示。

该数据选择器的VHDL描述如下:

entity sel is

port(a,b,c,d,sel_1:IN bit;

out_1:OUT bit);

end sel;

architecture example of sel is

begin

process((a,b,c,d, sel_0, sel_1)

begin

if sel_0=‘0’and sel_1=‘0’then

out_1<=a;

elsef sel_0=‘0’and sel_1=‘1’then

out_1<=b;

elsef sel_0=‘1’and sel_1=‘0’then

out_1<=c;

else

out_1<=d;

end if;

end process;

end example;

利用VHDL强大的仿真功能,经过编译后运行仿真,之后可以产生信号波形,用以分析仿真结果。本例中产生波形如图3所示。仿真结果符合设计功能的要求。

图3

仿真结果

5 结束语

本文以4选1数据选择器设计为例,说明利用VHDL设计电路系统的基本方法和过程。用VHDL语言实现电路的设计过程,是一个以软件设计为主,器件配置相结合的过程。这种软件设计与硬件设计的结合,以一片器件代替由多片小规模集成数字电路组成的电路,其优势已经越来越明显。在进行系统设计时,如果系统比较复杂,所需器件数目多,并要求体积小、速度快、功耗低时,首先应该考虑使用VHDL进行芯片设计,然后再进行整体设计。

参考文献:

[1]Stafan Sjoholm,Lennart Lindh.边计年,薛宏熙.译.用VHDL设计电子线路[M].北京:清华大学出版社,1999.

[2]潘松,黄继业.EDA技术实用教程[M].科学出版社,2002.

[3]侯伯亨,顾新.VHDL硬件描述语言与数字逻辑电路设计[M].西安:西安电子科技大学出版社,2004.

[4]赵晨光.等.VHDL语言在电子设计实践中的应用[J].沈阳航空工业学院学报.2004,21(1):57-59.

[5]张利萍, 胡玉兰. 硬件描述语言VHDL应用设计及实例[J].沈阳工业学院学报,2002,21(2):70-73.

上一篇:精神饱满造句下一篇:国电集团公司管理手册