基于EDA技术的数字电路课程设计

2024-04-18

基于EDA技术的数字电路课程设计(通用10篇)

篇1:基于EDA技术的数字电路课程设计

基于EDA技术的数字电路课程设计

摘要:EDA技术结合数字电路课程设计课程是新教育改革的体现,创新的教学模式开启了学生的智慧,增强实践性与逻辑思维,激发学生热情。在阐述了EDA的特征及优势的同时,探究了它与数字电路课程设计的过程,最后对以新课程改革的观点分析课程设计的优势。

关键词:EDA技术 数字电路设计课 新课程改革

引言

随着社会科学技术不断发展,培养四有新人的重任略显重要。在日常生活中随处可见EDA技术的应用,电子信息时代,逐渐被HTML描述性语言代替。传统的理念及设计手段已经不能完全满足现代企业和社会的需求。在EDA(EleCTRonICs Design Automation)技术基础上,融入到数字电路课程是教育时代的要求,也是教学改革的新课程的要求。国家不仅重视创新发展,更注重培养人才,课程设计,直接影响学生的思想和培育。

1.EDA技术的特征及优势

1.1简单易于操作

计算机行业中,软件硬件的应用是相互结合的。那么,关于EDA技术应用的性质特征为整个设计过程简单可操作性强。在此方面涉及方面比较广泛,内容相对丰富,通过硬件描述与软件开发工具,实现特定的测试电路设计,在修改方面也达到便利的效果。

1.2产品的互换性强

EDA技术在设计上实现了逻辑编程器件,应用上可以自动的检测、编辑,以及对一些程序的重新建构,对其进行修改。设计芯片方法灵活性强,有别于传统的设计思路,在使用效率方面得到显而易见的效果。因而,产品的互换性较强。

1.3自动性能高

在传统的设计上,需要技术人员的操作,在人员辅助下操作完成设计。EDA技术设计突破以往的多人操作的难点,实现自动化设计。这不仅在人员调动方面节约了成本,而且实现了自动化设计。在性能上达到优化,测试全过程及及结果将会自动完成。

2.基于EDA技术结合数字电路课程设计的探究

2.1设计方法与要求

EDA在设计方法上遵循技术改革创新方式,将其传统的设计概念中,加入新的焊接模式的转变,达到了计算机自动化的性能;在设计要求上,运用于数字系统中,例如,在设计数字闹钟的过程中,增加了计时、整点报时等功能。在设计流程上,使用芯片也比传统芯片更实用。

2.2适配器件如何应用

这时代,EDA设计的特点,在底层配件上都尽显完善,适配对象包括布局线都进行了逻辑性操作。这增加了仿真设计的效果。根据所需要的设计文件类型,完成自动化设计过程。若设计有误,可自动下载编程,进行修改。可见器件的适配设计在实际应用中发挥其明显作用。

2.3编码电路与译码电路共占195个逻辑单元

数字电路课程设计应用在EDA中,通过目标系统,使用描述性编码完成设计工作,编译码电路在出错后会自动改错,编码电路与译码电路共同实现了逻辑性的功能,这个过程,体现了EDA技术在数字电路中越来越重要。

3.突破传统教学教程,注重能力的培养

3.1跟上时代脚步,注重教程改革

电路数字课程设计是电子信息专业的一门基础课程。教学课程方面,比以往的教学方案中增加更多互动模式,传教方式灵活简单易懂,注重培养学生的实践能力。目前,EDA技术涉及的领域遍布全国,它的发展已经步入科技前沿。

3.2根据社会需要,学以致用

数字电路课程设计,应用于实际生活的每个层面。在学校、医院、楼层、社区、企业、家庭、交通等领域中,随处可见,例如在医院里,病床疾病呼叫,还有密码解锁、楼层内的控灯、触摸延时灯、数字钟、还有循环彩灯以及在交通运输方面使用的交通灯等,要结合实际需求,达到教学与实践相结合。

3.3技术与课程设计相结合,利于能力的培养

DEA技术与数字电路课程设计的结合,在给学生逻辑思维上的灌输通通明朗。不论在教学教程上还是培育学生上都得到了实质性的提高。传统的数字电路课程设计制约了学生的分析能力,固定的教学模式,限制了学习设计思路,及其独立设计与组装的能力。因此,注重教学课程改革与培养实践技能成为发展趋势。

4高校开展EDA技术课程,教育教学不断完善

就目前状况来看,EDA技术的课程与实践课开展的十分普遍,对于高职电子专业人员来说,综合EDA技术的数字电路课程设计综合的实现了学生的应用能力,这是技术理论上的一场革命性的训练。新课程的培养目标理念深厚,这种教学方式,贯彻了“三个代表”的重要思想。

在课程上新改革,例如以往的法务部与税务部的分割线比较明显,而在大时代背景下,需要新型人才,也需要在企业中事倍功半,在以往的教程上综合了法务与税务的知识,在新课程的推动下,出现法务税务师,这不仅节约了人才,而且自身能力提高,实现个人独特的价值。基于EDA技术的数字电路课程设计理念也是如此,为节约人才与新型技术人员的培养提供可行性的策略。

总结

数字电路课程设计思路有两个,一个是仿真电路设计,一个是应用设计印刷电路板。课程设计的教程实践将会实现个人的独立设计与创新能力。能够培养具有逻辑性的思考和解决问题的高素质人才,提高学生积极性与学校热情,是实现基于EDA技术的数字电路课程设计的关键所在。

参考文献

[1] 李彩.科技视界.浅谈时序逻辑电路.2013(3)[2] 王璐,于冠龙,马永强.浅析航空电子元器件的质量控制.黑龙江科技信息.2015(32)

篇2:基于EDA技术的数字电路课程设计

题目: 数字跑表

姓名: 班级: 学号: 成绩:

一、设计题目及要求 设计题目:数字跑表

要求:1 具有暂停,启动功能。

具有重新开始功能。用六个数码管分别显示百分秒,秒和分钟。

二、设计过程及内容

拿到题目后,我在图书馆进行了相关书籍的查阅,首先明确了题目中设计项目要实现的功能,再进一步确定实现其功能的组成部分和使用器件,对于本次设计的总体思路,首先是设计一个控制模块,可以使跑表具有启动、暂停及重新开始的功能;然后,利用一个分频模块即15进制计数器得到100HZ的时钟脉冲,接入到一个100*60*60三个计数器的模块中,完成对时间的计时工作和对选时模块的输出工作,使选时模块得到对应的时间,其次将选时模块与显示模块连接,使数码管显示选中的当前时间,从而完成了这次课程设计的设计工作,进入到实现过程中去。

根据课程设计要求将设计分为5个模块:

1、控制模块,使跑表具有启动、暂停及重新开始的功能;

2、分频模块,用于得到频率为100HZ的时钟脉冲;

3、计时模块,进行时间的计时,同时将当前时间输出给选时模块;

4、选时模块,从计时器得到当前时间输出给显示模块;

5、显示模块,进行时间的显示。总图如下: 第一个模块:控制器模块

与门可控制时钟信号的输出与否,当跑表为START状态时CLK端为高电平,QA为1,时钟信号输出,当跑表为STOP状态时CLK端为低电平,QA为0,时钟信号不输出,从而实现开始和暂停的功能。REST是清零按钮,REST接到控制模块和总计时器模块的清零端,当REST为高电平时,控制模块和总计数器模块清零,跑表重新开始工作。

第二个模块:分频器模块

将74161接成15进制计数器,将1465HZ的时钟频率转换成近似于100HZ的时钟信号即所需的输入时钟信号,从而实现分频功能。将得到的时钟信号输入到总计数器模块中去。第三个模块:计时模块

计时模块

本模块由两个60进制计数器和一个100进制计数器构成,从而实现百分秒向秒、秒向分的计数功能需求。60进制计数器及100进制计数器均采用两个74LS160,采用整体置数方式接成。从60进制计数器和100进制计数器这三个输出端分别印出八个端口(秒、分、时的个位及十位分别由四个二进制代码表示),将当前时间编码传送给选时模块,实现时间的选择和显示。(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)

100进制计数器

60进制计数器

第四个模块:选时模块

本模块由四个八选一数据选择器74LS151和地址选择器74LS161构成。

地址选择器74LS161接入一个1465 HZ的时钟信号,使能端和清零端接高电平,使其循环工作,产生一组循环地址码A、B、C,接到数码管的地址端,使其循环显示数字。同时,地址选择器74LS161产生的一组循环地址码接入到四个八选一数据选择器74LS151上,使其对地址相同的一组数据进行选择,产生四个二进制数A0,A1,A2,A3,即为数码管所要显示的数字的编码。

第一个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的最低位(S0A,S1A, M0A, M1A, H0A, H1A), 第二个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的次低位(S0B,S1B,M0B,M1B,H0B,H1B), 第三个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第二位(S0C,S1C,M0C,M1C,H0C,H1C), 第四个74LS151上的输入端为秒、分、百分秒个位及十位的四位二进制的第一位(S0D,S1D,M0D,M1D,H0D,H1D),通过这四个八位二进制数比较器74LS151选出同一组数(秒个位:S0A,S0B,S0C,S0D;秒十位:S1A,S1B,S1C,S1D;分个位:M0A,M0B,M0C,M0D;分十位:M1A,M1B,M1C,M1D;百分秒个位:H0A,H0B,H0C,H0D;百分秒十位:H1A,H1B,H1C,H1D.)作为输出A0,A1,A2,A3,接到显示模块输入端。

选时模块

第五个模块:显示模块

本模块采用BCD—七段显示译码器7448对实验板上数码管进行驱动。由选时模块输出的显示数字编码A0,A1,A2,A3接至输入端A,B,C,D,使输出端产生七位译码连接到实验箱公共数据输入端ABCDEDG,从而进行数据的显示。

显示模块

三、设计结论

两周的课程设计很快就结束了,虽然时间很短,但是收获颇丰。通过这次课程设计,我学到了许多关于EDA的知识,认识到了EDA的强大功能,更重要的是增强了我的实践动手能力,使我深刻地认识到仅仅学习课本上的知识是远远不够的,必须要多多动手,多多实践,才能真正理解并掌握所学的知识,达到学以致用的目的。同时我也深深地感受到严谨的态度对于科学研究的重要性。由于在设计的过程中,一点点的马虎都可能造成整个系统的瘫痪,所以每一个细节都要认真思考,认真操作,不能有丝 百分的大意。这使我认识到要想做一个科研工作者是多么的不易!自己身上的缺点还有很多,要靠以后艰苦的努力来克服!

这次的EDA课程设计给了我一次非常重要也非常难得的实践机会,使我可以将平时课本上学习的理论知识应用于实际操作。设计的过程是十分艰苦的,由于从未接触过类似的领域,所以刚开始的时候一片茫然,不知道该干些什么。随着研究的逐渐深入,自己渐渐的摸出头绪,掌握了一些规律和方法,设计的成果也逐步成型,最终按照要求完成了设计。在实际操作的过程中,碰到了许多的困难,但最终在老师的耐心指导和同学的热情帮助下,按时完成了任务。在此对老师和同学们表示衷心的感谢!

篇3:基于EDA技术的数字电路课程设计

一、应用EDA技术设计数字系统的优势

应用E D A技术进行数字电路课程设计为解决传统设计方法的不足提供了一个良好的契机。它引入层次化设计的新思路,从整个系统功能与性能优化出发, 按一定原则将系统逐步分解为各功能模块, 由V H D L描述模块的逻辑功能,便可生成满足相应逻辑功能的新器件 (底层设计) ;再采用VHDL语言或原理图输入方式,将生成的新器件连成系统图 (顶层设计) 。另外,由于新器件内部电路是用程序构建的,硬件的逻辑关系由语言来描述,所以只要修改语句则可修改硬件的逻辑关系。由此可见这种设计方法可提高工作效率,设计更加灵活、快捷,能充分激发学生的创新思维。同时也可减少器件的数量,避免复杂的接线,缩小系统的体积,降低消耗,提高系统的可靠性、继承性、移植性。

二、EDA技术设计数字系统的方法

EDA是以在系统可编程逻辑器件 (FPGA或ispPAC) 及其开发系统为硬件平台, 以E D A开发软件 (如M a x+p l u sⅡ) 为开发工具。设计一个数字系统一般要经过设计输入、编译、仿真、下载4个步骤。Max+plusⅡ设计输入可采用原理图、硬件描述语言 (VHDL) 等多种输入方式,并支持这些文件的任意混合设计。对于不同层次, 可采用不同的输入方式进行设计。由于VHDL擅长描述模块的逻辑功能, 所以在对底层模块设计中, 常采用VHDL进行描述,而原理图输入方式则擅长描述模块间的连接关系, 所以在顶层设计中, 常采用原理图输入方法。

三、实例分析

在数字电路课程设计中,我们开发了多种不同的典型设计实例,如数字钟、出租车计费器、电梯控制电路、交通灯、密码锁等,本文以数字钟为例,通过采用混合输入方式,介绍EDA技术的层次化设计方法。

1. 多功能数字钟功能

多功能数字钟应具有以下几个基本功能:

(1) 有正常的时、分、秒计时功能,由数码管分别显示小时、分钟、秒钟。

(2) 具有“校时”“校分”功能。

(3) 具有整点报时功能。

根据V H D L特点,设计者不再需要考虑选择固定功能的标准芯片,从实现系统功能出发,可以建立多功能数字钟系统模块示意图 (如图1所示) 。

图1中计数控制模块实现正常“计时”“校时”“校分”及清零功能。报时控制模块实现整点报时功能。本系统采用层次化设计,分底层和顶层两个层次设计。用V H D L编写各个功能模块,用原理图输入方式描述各模块间的连接关系。

2. 底层模块设计

底层模块包括计数控制模块和报时控制模块,底层模块设计均采用VHDL实现。

(1) 计数控制模块。计数控制模块又分为秒计数模块、分计数模块和时计数模块。秒计数模块、分计数模块实现60进制计数,时计数模块实现24进制计数。它们只是计数长度不同,而编程方法和V H D L程序是类似的。以秒计数为例,其V H D L程序代码如下:

上述程序代码经过编译后生成相应秒计数模块符号 (如图2所示) ,供顶层设计时调用。程序经过时序仿真后得波形如图3所示。

(2) 报时模块。报时模块的功能:当计时到达59分50秒时开始报时,在59分50秒、52秒、54秒、56秒、58秒鸣叫,鸣叫声频为500Hz。到达整点59分60秒时,用1K H z声频鸣叫报时。因此该模块中又包含整点报时模块和分频模块。整点报时模块由V H D L程序实现;分频模块实现将1KHZ分频为500Hz的功能,也通过VHDL语言编程实现。

上述程序代码经过编译后生成相应报时模块符号,如图4所示。

3. 顶层原理图设计

顶层设计采用原理图输入方式。通过调用各底层生成的模块符号,再根据整个系统的功能要求从EDA自备元件库中调用一些元件,并做适当连接,便实现顶层文件的设计。多功能数字钟的顶层设计电路如图5所示。其中SECD、MINE和HOUR分别为秒计数模块、分计数模块和时计数模块;C A L L为整点报时模块;FPQ为分频模块。CLK和CLK1为时钟脉冲输入端,接时钟源;SC、SB、SA分别为秒清零、校分、校时端,接下载板上三个开关;S o u n d为声音输出端,接扬声器;a[3..0]和b[3..0]、c[3..0]和d[3..0]、e[3..0]和f[3..0]分别为秒、分和时的输出端,接LED。

SC、SB、SA为低电平时,在时钟脉冲CLK1的作用下,系统进行正常计数;S B为高电平时,分计数器进行“校分”;S A为高电平时,时计数器进行“校时”。C L K接1K H Z时钟源经过分频器F P Q产生500H Z脉冲。在计时的每一个整点C A L L的输出端Q1K输出高电平与1K H Z信号相与送扬声器发出1K H Z鸣叫声,同理在59’50’’、52’’、54’’、56’’、58’’时,Q500输出高电平与500HZ信号相与送扬声器发出5声500HZ鸣叫声。

4. 顶层文件仿真与下载

顶层原理图经过编译后生成顶层文件,对顶层文件进行时序仿真,结果正确后,利用Altera公司的FPGA芯片EPF10K10LC84-3及其SE-5M型开发系统进行下载。下载时, 时钟C L K1和C L K分别选取8H z和1024H z时钟源。从下载结果显示完全符合设计要求。

四、结束语

从上述采用E D A技术的设计实例中看到了当今数字系统设计的新思路、新方法。其中用V H D L设计逻辑功能模块,层次化的设计理念是区别于传统设计方法的关键之处。使用这项技术可缩短电子系统研发时间,简化生产流程,降低开发和生产费用。代表了现代数字系统设计的方向。所以作为电专业的应用型本科生,在校期间就应该学习、掌握E D A技术,对将来尽快适应高速发展的社会需求,对提高创新能力、工程设计能力、就业率都是十分有利的。

参考文献

[1]阎石.数字电子技术基础 (第五版) [M].北京:高等教育出版社, 2008

[2]许小军.数字电子技术实验与课程设计指导[M].江苏:东南大学出版社, 2007

篇4:基于EDA技术的数字电路课程设计

【摘要】本文分析了传统的数字电子技术课程设计的不足,阐述了在课程设计中引入EDA技术的必要性和优越性。

【关键词】课程设计 数字电子技术 EDA 实验平台

【基金项目】中央高校基本科研业务费专项资金资助(编号:16CX02035A),中国石油大学青年教师教学改革项目(编号:QN201413),中国石油大学教学实验技术改革项目(编号:SY-B201402)。

【中图分类号】G642【文献标识码】B 【文章编号】2095-3089(2016)07-0239-02

进入21世纪以来,随着微电子技术、电子技术和计算机技术的飞速发展,数字电子技术及其应用向着更为深入、更为广泛的层次扩展。电子产品的设计周期和上市时间日益缩短,电子产品的功能更加丰富,性能更加优良,由此推动了电子系统设计技术向电子设计自动化EDA方向发展,并且对EDA技术及其应用提出了更高的要求。

1.课程设计的背景

中国石油大学(华东)的“电工电子学”课程是“国家级精品课程”,以着重培养学生的系统观念、工程观念、科技创新等基本素质为教学方针。多年来在教学和科研中紧跟电子技术发展的每一个关键时刻,教学组的教师都适时地对内容体系和教材进行更新和完善,坚持不断进行课程改革,取得了丰硕的成果。“数字电子技术课程设计”是为大二学生暑期开设的一门必修课程,它是“数字电子技术基础”和“电子技术实验”等课程的后续课程,主要以培养学生的实践能力和创新精神为目标,加深学生对理论知识的理解,切实提高动手和解决问题的能力。

2.课程设计的选题

针对电子专业的特点,我们在数字电子技术课程设计部分采用了“基于复杂可编程逻辑器件(CPLD)实现电阻、电感、电容的测量”这一题目。测量工作原理是将被测量转换成频率,由CPLD实现频率的计算,并转换成被测量信号后输出显示。电阻、电感、电容经过转化电路,完成电阻/频率(R/ F)转换,电容/频率(C/ F)转换,电感/频率(L/ F)转换。用CPLD测量其频率,具体功能分块包括:多路选择开关、分频器、时间闸门计数器、测量计数器等。

频率测量的主要部件是一个带门控计数端的计数器(测量计数器),被测信号(被测频率)由此计数器计数。如果门控计数器的开门计数时间恰好为1秒,则测量计数器的计数值就是输入信号的频率。若改变开门计数时间,即可改变频率测量的量程。如开门时间为0.1秒,则量程为×10,开门时间为0.01秒,量程为×100,开门时间为0.001秒,量程为×1000。由计数器的数值即可换算电阻、电感、电容的大小。

本课题要求设计一个测量电阻、电感、电容的4位十进制数字显示的数显仪表,根据频率计的测频原理,由测频量程需要,选择合适的时基信号即闸门时间,对输入被测信号进行计数,实现测量的目的。其数显测量范围为0-99990Hz,满刻度量程分为9999、99990两档,手动转换量程,当输入计数值大于实际量程时有溢出指示。

3.课程设计的实现

(1)按照现代数字系统的Top-Down模块化设计方法,提出数字频率计的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、受控器模块化子系统的设计方案。

(2)针对ispLEVER的EDA设计环境,采用Verilog HDL语言,完成受控器模块(测量计数器)的设计,并采用Abel语言编程进行仿真。

(3)在ispLEVER的EDA设计环境中,完成基于Verilog语言实现的控制器模块(闸门计数器,量程开关,选择开关)的设计,并采用Abel语言进行仿真。

(4)基于ispLEVER的EDA设计环境,采用Verilog HDL语言或原理图,完成顶层模块的设计并采用Abel语言编写测试向量文件进行仿真。

4.结束语

通过课程设计的锻炼,学生可以增强综合分析问题及解决问题的能力,激发学习兴趣和潜在的能动性。有学生在总结报告中写道:“通过这次课程设计,我切身体会到给出一个命题,利用Verilog语言编程实现这个命题,并利用软件模拟仿真,看功能是否得以实现的全过程。一方面学到了许多新知识,另一方面使我们对数字电子设计的全过程有了一个全面的了解,同时也深刻感受到利用EDA软件实现电子设计的强大优势。这样的课程设计很适合我们,使我们受益匪浅”。

参考文献

[1]王君红, 刘复玉, 任旭虎. “电工电子学”实验教学模式改革[J]. 实验科学与技术, 2012, 10(5): 76-78.

[2]于云华. 数字电子技术基础[M]. 东营: 中国石油大学出版社, 2008: 392-399.

作者简介:

篇5:EDA课程设计—洗衣机时控电路

题目: 洗衣机时控电路

姓名: 学号: 姓名: 学号: 姓名: 学号: 班级: 成绩:

一、设计题目及要求

(一)设计题目:具有数字显示的洗衣机时控电路

(二)设计要求:

1、洗衣机工作时间可在1~15分钟任意设定(整分钟数);

2、规定电动机运行规律为正转20s、停10s、反转20s、停10s、再正转20s,以后反复运行;

3、要求能显示洗衣机剩余工作时间,每当电机运行1分钟,分钟计时器自动减1,直到显示器为“0”时,电机停止运转,停运后发出响两秒停一秒的蜂鸣提示;

4、电机正转和反转要有指示灯指示,并要有秒数正计时显示。

二、设计过程及内容

(一)设计方案:

(1)首先设计一个732进制的分频器frequency, 用3个74160构成,采用整体置数法,将732 HZ的时钟脉冲分频为1HZ,来实现1秒的频率作时钟信号。

(2)设计一可产生六十进制进位信号模块count3,用2个74160构成,每六十个时钟信号产生一个进位信号。

(3)设计一控制灯的模块L,在六十秒周期中前二十秒灯L1亮(表示正转),再十秒灯L3亮(表示停转),再有二十秒灯L2亮(表示反转),再十秒灯L3亮(表示停转)。

(4)设计一模块minute,完成“洗衣机工作时间可在1~15分钟任意设定(整分钟数);能显示洗衣机剩余工作时间,每当电机运行1分钟,显示计数器自动减1,直到显示器为“0”时,电机停止运转”的任务。用减法计数器74191使分钟数自动减1,另外要用到扫描显示电路,将分钟的个位和十位上的数据分别用两个数码管进行显示,用以显示倒计时,显示机器的剩余工作时间。数码管显示电路用2个双四选一数字选择器74153和BCD—七段7449显示器构成。74191和数码管显示电路之间需加一个将十进制转换为二进制的模块10shifted2。

(5)设计一模块ring,用1个74160构成一个三进制的电路,使其完成“在显示器为“0”时,电机停止运转,停运后发出响两秒停一秒的蜂鸣提示”。

(二)设计模块(1)732进制计数器

将3个74160用整体置数法制成732进制的分频器frequency,将732 HZ的时钟脉冲分频为1HZ,来实现1秒的频率作时钟信号。具体连接如图:

仿真波形如图:

(2)六十进制模块count3 将2个74160用整体置数法构成六十进制计数器count3,每六十个时钟信号产生一个进位信号。具体连接如下图:

仿真波形如图:

(3)灯控模块L 在模块一中,已经将732HZ的输入信号转换成1HZ的输入信号,本模块也是使用1HZ的输入信号。在这里我们使用2个74160和1个74138译码器。根据整体置数法把2个74160构成60进制的计数器,因为要求是在60秒中灯各自显示,所以利用表示六进制的74160显示出000、001、010、011、100、101这几个数,接入74138译码器,根据其功能表和题目要求,首先前20秒正转,即000和001,观察特点,用一个同或门即可实现,反转和停的道理是一样的。至于其他两个状态101和111我们使用一个与非门使这2个状态控制指数端。这样就只会有6个脉冲信号的输出,具体连接如下图:

仿真波形如图:

(4)数据模块DATA

本模块实现自动设定工作时间,显示工作时间以及工作时间递减的功能。这里我们使用了一个将10进制用2进制来显示的模块10SHIFTED2,其图如下:

仿真波形图:

同时还使用了 74191减法计数器、2个74153双4选1译码器以及一个7449显示器。还有用74160做成的扫描电路,通过对扫描电压的调节实现工作时间十位和个位的同步显示。同时还有控制端口out1实现对数码管显示、灯和蜂鸣的控制。只有当74191减至0时控制端口实现控制,数码管和灯控电路停止工作。模块DATA电路图连接如下:

仿真波形如图:

(5)蜂鸣模块ring

本模块实现当电机停运时有响两声停一声的蜂鸣声。在模块一中,已经将732HZ的输入信号转换成1HZ的输入信号,本模块也是使用1HZ的输入信号。根据置数法把74160制成三进制的计数器,当电机停运时,out1将会输出0,通过启动74160,从而产生响两声停一声的蜂鸣声。模块ring的电路图连接如下:

仿真波形如图;(6)总控制模块

将各个部分连接起来,用732HZ作为总的输入信号,灯、蜂鸣声和显示器作为输出,完成了洗衣机的时控电路。电路图如下:

仿真波形如图:

三、设计结论

经过实验板的验证,该电路设计达到了预期的目标,实现了题目所要求的各项功能,本次EDA成功!

四、设计感想

在设计过程中,出现了许多问题。开始是对整体思路的把握,首先是需要考虑怎样把题意转化为我们学过的逻辑表示,需要联系好多的知识,觉得很复杂。后来我们考虑分模块进行设计,根据题目要求,提取出需要实现的功能,通过查阅资料和数电课本,画出需要的电路图。例如在连接2到10进制转换的电路时,起初不知道用什么去实现,后来在重复看书的过程中,发现了可以用卡诺图去实现;还有在连接显示器那部分电路的时候,本来是只显示十位和个位上的数字,就需要2个显示器,可是硬件上只有一个显示器连接口,刚刚连接好的电路就需要修改,又会关联到许多东西;在整体检查时,发现有部分电路其实可以简化,于是又对电路做了些相应的修整。

其次在电路仿真的过程中也会出现一些这样那样的问题,都需要自己耐心去思考,或者通过问同学和请教老师使问题得以解决。有时一个功能可以用多个不同的电路去实现,需要考虑哪个比较合适,哪个比较准确,哪个更符合设计的要求,这就需要不断地改进电路使其优化。

篇6:EDA课程设计——数字频率计

题目:数字频率计

姓名:Eric 班级:09电子x班 学号: 090104020xxxx 成绩:

(注:此文件应以同学学号为文件名)

一、设计题目及要求

1.输入为矩形脉冲,频率范围0~99MHz;

2.用五位数码管显示;只显示最后的结果,不要将计数过程显示出来;

3.单位为Hz和KHz两档,自动切换。

二、设计过程及内容 1.总体设计思路

总电路图主要有两部分组成,即测频电路和扫描电路。

图1 总电路图

图2 总电路图仿真波形

测频电路测量一秒钟内通过计数器的脉冲个数,将其送至扫描电路中显示。

2.主要模块实现方法(1)扫描电路

试验箱上共有8个数码管,但共用一个显示输入端,因此如要显示两位以上的数字,就必须使用扫描电路。其作用就在于不同的时间使不同的数码管显示当前输入的与其对应的数字,由于扫描的频率很高,带给人眼的感觉就是同时在显示。四个八选一数据选择器。扫描电路由一个八进制计数器、四个八选一数据选择器74151、一个七段译码器7448组成。

图3 扫描电路

图4 四个74151接法

图5 扫描电路仿真波形

(2)测频电路

测频电路由一个366进制计数器和计数换挡及寄存电路组成

图6 测频电路

图 7 测频电路仿真波形

在366进制计数器输入频率为366Hz的时钟信号,当该计数器通过366个脉冲,即经过时间一秒后366进制计数器的进位端输出高电平,将脉冲计数器置零,并控制储存寄存电路输出一秒内通过脉冲计数器的脉冲个数,以达到测量频率的效果,并且保证只显示最后结果不显示中间计数过程。

图8 366进制计数器

计数换挡及寄存电路由1个一亿进制计数器和20个门电路组合以及20个D触发器组成的寄存电路组成。

图9 计数换挡及寄存电路

因为要求测量0到99MHz的频率所以选用一亿进制计数器计脉冲的个数,置零端通过一个非门和366进制计数器的进位输出端相连,又要求使用5喂数码管,所以当计数器十万位的数为1时就换挡,换挡后将不显示后3位数,测试的单位由Hz变为kHz。

图10 一亿进制计数器

(3)换挡的实现

换挡电路由20个门电路的组合构成,20个电路组合的A端分别接一亿进制计数器的Q0到Q19即低五位,B端分别接一亿进制计数器的Q12到Q31即高五位,CO和NCO接一亿进制计数器的进位输出端。S端接寄存电路D触发器的出入端。

S=AC’+BC

图11 换挡门电路组合

(4)寄存电路

寄存电路由20个D触发器接成,输入输出端分别接换挡电路和扫描电路。CLK端接366进制计数器的进位输出端以实现对数据的存储和输出。

图12 寄存电路

三、设计结论(包括设计过程中出现的问题;对EDA课程设计感想、意见和建议)(1)出现过的问题

在使用Max-Plus时在画图的初始阶段不知道如何旋转器件,仿真阶段endtime设置的过长,时钟脉冲周期设置的过小导致因器件延迟造成的仿真失效。在试验箱的使用过程中因没插跳线导致程序无法下载到芯片。

(2)对EDA课程设计感想

刚拿到题目是觉得无从下手十分躁,第二天思考了一天仍无法突破,也曾有所抱怨。当看到每个分立的模块仿真均正确而组合起来的总电路仿真效果十分混乱的时候感到极其的困惑,在老师的建议下把电路图下载到了试验箱里解决了这个问题。最后当自己的设计通过老师验收的时候心里无比的轻松喜悦……

应用软件MAX-Plus的使用大大减小了因在纸上画电路图的工作量,通过简单的电路设计,提高了我的独立思考能力,通过连结实验箱增强了我的动手能力,并延伸了我在课堂上学到的知识,此次课程设计让我认识到高新技术的快速发展和应用,让我看到了EDA技术功能的强大,也让我认识到掌握他们的重要性,同时也看到了自己的差距与不足,我知道只有今后自己努力学习,拓宽自己的知识面,才能更好的掌握这项技术,也才能适应社会的发展。

(3)意见和建议

篇7:基于EDA技术的数字电路课程设计

本次设计主要分为四个部分,第一部分:信号产生电路;第二部分:电子示电路;第三部分:倒计时设计,第四部分:交通灯及交通灯控制电路

在本次设计中采用555定时器产生CP=1Hz的脉冲信号,经过用741192设计的预置状态为59的60进制加计数器和预置状态为29的30进制计数器。并使进位位作为脉冲输出,实现5分频,然后用芯片74161和74139实现南北干道和支东西干道红,绿,黄色灯亮的时间控制,最后一部分的减计数器选用74193进行级联计数,译码器选用cc4511,本人主要设计减计数器及数码管显示倒计时部分

一、设计任务与要求

1.东西方向绿灯亮,南北方向红灯亮,时间30。2.东西方向与南北方向黄灯亮,时间5s。3.南北方向绿灯亮,东西方向红灯亮,时间60。

二、方案设计与论证

根据设计任务与要求,我们可以知道这个交通灯的设计是分南北干道和东西干道的,两个方面的时间是不同的,东西方向通行30s,南北方向60s,这就要求我们要有两个计数器,根据我自己的经验,东西方向通行30s完,倒计时数字显示器会显示到0,然后切换到南北方向通行60s完之后, 倒计时数字显示器也会显示到0之后然后切换到南北方向,这样如此循环,由于黄灯是当两个计数器倒计时到5时开始闪,我们就可以在这时发出一个脉冲然后一直保持到0,或者是接收0~5这段时间的脉冲都可以控黄灯只在到了这段时间才亮;方案:

交通灯控制原理图:

2.a 交通灯原理框图

首先由555定时器产生1s的信号脉冲,通过由一些1k电阻和三片74LS245,两片74LS192处理构成定时电路处理,产生时间输出信号作用电子显示器,另一部分的1s的信号脉冲通过由两片74LS112的JK触发器组成的路灯控制电路的对1s的信号处理,产生对交通路灯有控制作用的电子逻辑信号,从而实现按要求的对路灯的正常控制。

2三、单元电路设计

3.1时间脉冲产生电路

由 555 定时器和外接元件 R1、R2、C 构成多谐振荡器,脚 2 与脚 6 直接相连。电路没有稳态,仅存在两个暂稳态,电路亦不需要外接 触发信号,利用电源通过 R1、R2 向 C 充电,以及 C 通过 R2 向放电端 放电,使电路产生振荡。电容 C 在 和 之间充电和放电,从而在输出端得到一系列的矩形波,且通过调节参数,使得产生的矩形波为1hz的也就是周期为1s的脉冲发生电路。

3.1a 1s脉冲信号产生电路

3.2电子显示电路

一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。

3.2a BCD码显示电路

3.3计时器电路

计数器

倒计时计数电路主要由计数器构成,它在整个系统设计中的作用是实现计时计数,在此我们选用减法计数器,因为本设计说明时间可预置,所以需要可预置数的减计数器。目前,在实际工程应用中,我们已经很少使用小规模的触发器去拼接成各种计数器,而是直接选用集成计数器产品。3.3a 74LS192 74LS193引脚图

本次课程设计需要50进制和30进制减计数器各一个,所以采用两个74193级联计数,将表示个位的计数芯片借位端BO连接后一级的CPD即可进行级联计数,后一级输出为十位位。以下为设计中用到的50和30进制减计数器。以下为计数器的逻辑电路图:

3.3b 范围为49~0的50进制减计数器

3.3c计数范围为29~0的30进减制计数器

3.4交通灯控制电路与交通灯

3.4,1交通灯控制电路

交通信号灯转换器其实就是由计数进制转换器来实现,即一个JK触发器,其中J、K端都同时接高电平,即构成了一个T’触发器,目的就是实现翻转功能,其时钟输入端是由倒计时计数器中的两片74192的八个输出端经过一个或门然后经过一个非门接入。

3.4.1a 交通灯控制电路

3.4,2交通灯模拟电路

S0:没有打开电源的状态。S1:东西方向绿灯亮,南北方向红灯亮,时间30s。S0,S1,S2,S3中任一状态下打开电源会进入S1状态,数码管初始值为60。数码管最大显示为60,出现“0”的瞬间进入下一状态。(S2)S2:东西方向与南北方向黄灯亮,时间5s。

数码管最大显示为30,出现“0”的瞬间进入下一状态。S3:南北方向绿灯亮,东西 方向红灯亮,时间60s。数码管最大显示为60,出现“0”的瞬间进入下一状态。

3.4.2a 交通灯模拟电路

心得体会

经过一周的努力,我终于完成关于交通灯控制电路的电子课程设计,通过一周不断的查资料让我积累了许多实际操作经验,已初步掌握了数电的应用技术,以及数字电路的知识和有关器件的应用,我深刻体会到了数子电路技术对当今现代社会的重要作用。经过这次设计,我学会了许多东西,学会了严密的思考,构想及怎样把计划付诸于实际行动之中。同时与社会的不断高速发展的步伐相比,我认识到自己所学的知识和技能还远远不足,有些实际性的问题还不能够解决,缺少很多有实际运用价值的知识储备,缺乏应有的动手解决实际问题的能力,缺乏些高效利用及筛选大量资料的能力,缺乏资源共享及应有的团队合作精神,有待进一步提高,我应当学好自己的专业知识以适应不断发展的社会。

在这次课程设计中,我学会了如何有效的利用网络资源及图书馆的藏书,找到了几个很不错的专业网站,为以后的查阅专业方面的信息和相互之间的交流打下了坚实的基础,学会了如何看电路图,识别电路图,提高了自己的专业技能,同时也培养了自己独立解决实际问题的能力,也培养了自己认真和严谨的科学态度,收到了很大的启发,为以后的工作积累了些宝贵的经验。

参考文献

[1]高吉祥.数字电子技术.北京:电子工业出版社

[2]梁宗善.电子技术基础课程设计[M].武汉:华中理工大学出版社 [3]李玲远,范绿蓉,陈小宇.电子技术基础实验.北京:科学出版社 [4]彭介华.电子技术课程设计指导[M].北京:高等教育出版社 [5]康光华

篇8:基于EDA技术的数字电路课程设计

一、数字电路教学课程设计引入EDA技术的改革优势

常规数字电路课程实训设计, 大多基于固定功能的标准芯片进行, 在设计灵活性、效率性、多样性、可靠性和创新性等方面均很难满足大规模系统电路设计创新需求[2]。引入EDA技术进行数字电路课程设计实训教学, 给数字电路系统优化创新设计提供了新思路、新方法和新技术平台, 从整个电路系统功能与性能优化出发, “自上而下”按照相应原则将电路逐步分解为各个层次的功能小模块, 并结合VHDL语言实现功能模块程序框架的搭建, 只需要修改相应程序语句就能实现各功能模块间逻辑关系的搭建, 实现对设计方案的不断优化改进, 进而产生各具特色的个性化、多样化、创新性设计方案。EDA技术可以实现各层次功能的模拟仿真, 实现电路功能“自上而下”逐一实现, 隔绝层间错误的传递, 进而可以提高电路优化改进设计的创新发散性和可靠性[3]。利用EDA技术中的可编程逻辑元器件, 按照电路功能随时经擦写改变硬件组态, 实现现场实训电路的重构, 无须为每组或每个功能电路配备一套开发装置, 进而可以有效降低课程实训设计改革成本, 能较好解决学生人数增加与试验设备资源间匹配不足问题。

二、基于EDA技术的数字电路课程改革设计实例分析

EDA技术在电路设计思路、设计理念等方面的灵活性、可靠性、发散创新性等方面, 给高职高专院校电工电子和电气信息等专业数字电路基础课程教学改革, 提供了重要的方法手段。在引入EDA技术进行教学改革时, 不能像常规那样进行元器件性能功能介绍和简单电路搭接, 需要站在非常高的角度看待EDA教学改革。要从培养社会需求的创新性、开拓性、实操性高职高专学生的角度出发, 通过设计思路、设计理念的引领, 激发学生学习的积极性和潜在开发能力, 提高其逻辑思维和编程能力。

(一) 设计要求

1) 电路设计基本条件。采用EDA开发软件, 按照“自上而下、层次化”的电路设计思路, 利用DJ-E801型实验开发系统和Isp Lever3.0EDA开发软件, 通过功能模块和软件程序的合理搭建完成时钟数字电路的设计、程序编程和电路安装调试。2) 电路功能描述。按照6人为1小组进行分组电路设计实训, 优化设计出一个多功能数字电路, 具备由正常的时、分、秒计时功能的六位数码管电子钟, 能利用实验系统上的“SA”键、“SB”键和“SC”键完成“校时”、“校分”和“清零”等功能。同时, 可以利用系统扬声器实现整点报时等功能。教师根据每组同学的设计情况进行有针对性的指导。

(二) 数字电路设计

1) 底层功能模块设计。按照“自上而下”的层次化、模块化的设计思路进行电路设计, 主要搭建系统中的cdu24 (“时”计时显示模块) 、cdu60 (“分”计时显示模块) 、cdu60s (“秒”计时显示模块) 和control (控制模块) 共四个功能模块。再通过VHDL语言编程通过顶层设计调用将四个功能模块有机连结起来, 完成计时电路系统功能。2) 顶层功能模块逻辑关系调用。在顶层设计时采用原理图输入方式, 即利用VHDL语言编程形成对应的功能模块逻辑关系, 经调用各底层生成的模块符号, 从EDA自备元件库中调取相应功能元件 (如:SECD秒计数模块、MINE分计数模块、HOUR小时计数模块、CALL整点报时模块、FPQ分频模块、SC秒清零控件、SB校分控件、SA校时控件、SOUND声音输出控件等) , 按照对应的功能逻辑关系的顶层电路原理图, 并进行编译形成顶层文件。利用DJ-E801型实验开发系统和Isp Lever3.0E-DA开发软件对顶层文件进行下载。将顶层文件下载到下载板后, 经仿真硬件进行仿真, 并对照设计要求查看仿真结果。

(三) 仿真结果评价

通过仿真引导学生观察和分析结果, 及时发现实验过程中可能存在的问题及可以优化改进的地方, 进而进行修改和完善电路设计方案。通过实践电路的设计、改进和安装调试, 可以将《数字电路》课程理论教学过程中的出现的一些抽象、繁杂的变化过程, 以具体的时序仿真波动图像等方式进行生动形象的展示, 一方面加深学生更透彻理解和消化所需知识内容;另一方面以多样化图文展示可以激发学生积极性和兴趣。当学生看到自己的成果, 或按照自我创新优化改进手段获得新的成果时, 会极大提升其成就感, 充分挖掘其运用知识、分析和解决问题、不断创新优化的潜在能力。

(四) 验收和实验报告

实验评分按照动手操作和试验设计报告两部分组成。指导教师根据学生现场动手能力、设计方案、设计成果和现场问答等方式, 现场按照60分总分进行现场部分分数考评;实验报告按照40分总分根据报告格式编排、设计过程论述、设计成果展示、总结等部分进行综合评述。通过营造良好的EDA创新设计环境, 经开阔、自由的交流、讨论和评述, 可以有效增强师生间的关系, 同时也是教师一个学习再提高的过程。

三、结束语

从实践教学经验和成果来看, 在《数字电路》课程设计中引入E-DA技术, 可以有效改善原设计的设计条件、设计思路和设计方案。通过“以学生为中心”的研究性自主创新设计实训, 可以有效激发学生的积极性和兴趣, 锻炼其实践动手电路设计和优化改进能力、安装调试操控能力、问题分析和解决能力, 进而培养出符合社会需求的创新实操性高职高专人才。同时, 也给教师提供了一个学习再提高的过程, 不断优化改进教学方法和手段, 教学改革效果十分明显。

参考文献

[1]张鹏.高职院校电子EDA技术课程的教学探讨[J].电子世界, 2014.

[2]王正勇, 陈学昌.依托EDA技术促进电子信息类专业教学模式改革的探索[J].工业和信息化教育, 2014.

篇9:基于EDA技术的数字电路课程设计

[关键词]EDA技术 课程设计 教学实践

[中图分类号] G420 [文献标识码] A [文章编号] 2095-3437(2012)10-0113-02

一、独立学院概况

北京科技大学天津学院是2005年经教育部批准,由北京科技大学和广东珠江投资集团有限公司合作举办的本科层次的全日制独立学院。学院依托北京科技大学优质教育资源,实施“应用型”理论教学和以“职业能力培养为主线”的实践教学,培养适应经济和社会发展需要的理论基础扎实、实践技能强、综合素质高并具有创新精神的应用型本科人才。[1]

二、EDA课程概述

EDA技术是在20世纪90年代逐渐成熟的一门新技术技术,它是设计者以计算机为工具,以大规模可编程逻辑器件为载体,以硬件描述语言为系统逻辑描述的主要表达方式,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术。

可编程逻辑器件(如CPLD、FPGA)已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自控及计算机应用等领域的重要性日益突出。在技术市场与人才市场对EDA的需求在不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。

三、教学实践内容

(一)教学手段

实践教学是高校一项重要的教学内容,可以培养学生的动手实践能力和创新能力,本课程设计作为一次重要的实践教学,将采用全程实验室教学,并设置每三人一组。小组教学采用先讲后练的原则,并在练习过程中增加师生间的互动性,有问题立即解决,充分调动学生的主观能动性。[2]

EDA技术课程作为电子信息类专业的核心专业课之一,在专业课程体系中它的功能定位是在专业培养目标中起到承上启下的作用。相比于其他课程,EDA应用技术的教学具有自身的规律和独特性。

首先是教学内容,学生应该是先修完数字电路后再来学习EDA应用技术这门课程,所以在讲授的过程中一些重要的理论知识需要学生提前复习。

其次是教学方法。本课程作为实践课程,以实验实践课为主,这就要求以引导性教学为主。对Verilog HDL的教学不会逐条语句讲授,而应结合具体实例讲解最基本的语句现象及其使用方法。

第三就是注重教学实效。数字电路与EDA技术课程的侧重点不同,前者侧重于逻辑行为实现的认知和验证;后者具有很强的实践性,侧重于实用电子系统的设计,侧重培养学生的自主创新的意识和能力,针对性强的实验应该是教学的重要环节。

(二)教学基础知识

EDA技术需要两方面的基础,一是硬件描述语言(Verilog或VHDL),二是FPGA芯片。

本次课程设计的硬件平台是综合性的实验箱,核心模块采用的FPGA芯片是ALTERA的芯片,型号是FLEX EPF10K10LC84-4,该实验箱还包括模拟信号源与数字时钟模块、按键及拨码开关阵列模块、7段数码管和点阵LED显示模块等常见外设。

在开发工具方面采用Quartus2软件平台,该平台ALTERA公司推出的FPGA、CPLD和ASIC的综合性开发软件,它不但支持电路原理图输入和硬件描述语言输入,而且具有完善的仿真功能。本文将Quartus2软件引入EDA课程设计教学环节目的在于提高学生对数字逻辑电路的分析和设计能力。

硬件描述语言常用的有两种,即VHDL和Verilog HDL。相较于VHDL,Verilog HDL更易学易用,可以在很短时间内掌握该语言,所以本次课程设计的采用了Verilog HDL。[3]

(三)教学内容

《EDA应用技术》的教学重点是基于EDA工具的系统设计技术的掌握,包括软件工具的熟练应用、Verilog HDL硬件描述语言、组合逻辑电路和时序逻辑电路的设计仿真。其中难点是应用Verilog HDL语言进行电子系统的设计。

(四)实践内容设计

应根据EDA应用技术课程实践性强的特点,设计由浅入深的实践内容。针对这次课程设计的课时少并结合独立学院学生的实际情况,对应的课程设计的实验步骤如下:

1.入门实验

实验内容的第一个层次——入门实验,是相关的验证性实验。由教师提供详细的设计程序和实验方法,使学生能有章可循、快速入门。要求学生掌握Quarter2软件的2个基本方式(电路原理图输入法、硬件描述语言法)仿真的整个流程。

2.基础实验

实验内容的第二个层次——基础实验,包括基本的组合逻辑电路和时序逻辑电路的设计和仿真。

3.设计实验

实验内容的第三个层次——设计实验,由教师给定设计目标、实现功能等,要求学生自主设计的实验。学生自行完成设计题目所提出的数字系统,并对出现的问题进行修改,直到完成预定的目标。[4]

(五)考核方式

针对《EDA应用技术》课程设计注重理论知识的实际应用和时间性强的特点,课程的考核分为两部分。

(1)平时成绩占40%,包括出勤和平时的实验表现,这可以反映学生的考勤情况及其在学习过程中的态度表现,促使学生遵守课堂纪律和养成良好的学习态度,增强自我管理能力。

(2)EDA课程实训验收成绩占60%,学生要完成教师给定的题目,反映学生的综合设计实践能力以及创新设计能力。验收实训时要演示设计的系统功能,提交实训设计报告,对设计过程进行总结,以及完成实训后的收获感想等。

(六)课程实施的体会

学生因素是实践教学的主体因素,学生的主观参与愿望兴趣和动机知识条件与基础等都是影响学生的关键要素,实践教学的成败最终体现在学生的变化上,所以学生是实践教学的核心。在课程设计的过程中要时刻调动学生的主动性。

由于本课程设计实践性强,相关理论知识的学习需要学生课下完成。由教师指定教材让学生在课下复习数字电路的理论知识,并预习完成基础语法的学习。

EDA技术在现代社会电子工程领域的应用越来越广泛,通过设置《EDA应用技术》课程设计的实训课程,提高了学生的开发和设计能力,使得学生能运用课本中所学到的知识,提高了学生学习的积极性。现代电子设计技术是发展的,相应的教学内容和教学方法也应不断改进,其中一定有许多问题值得我们继续深入探讨。

[ 参 考 文 献 ]

[1] 于洋,霍素彦,杨会来,郝淑珍. 独立学院人才培养目标定位研究[J].文教资料, 2009,10(28).

[2] 胡有林,朱玉梅.独立学院实践教学影响因素研究[J].黑龙江教育,2012,(5).

[3] 潘松,黄继业,陈龙.EDA技术与Verilog HDL[M].北京:清华大学出版社,2010.

[4] 黄科,艾琼龙,李磊. EDA数字系统设计案例实践[M].北京:清华大学出版社,2010.

篇10:数字电路课程设计

UDC

单位代码

10644

密 级 公 开 学 号

课程设计(题目)

课程名称:

数字电子技术基础 作

者:

指导教师:

鸿

别:

物理与工程技术系 专

业:

电子科学与技术 提交论文日期:

****年**月**日

论文答辩日期:

****年**月**日

中 国  达 州

报告书写要求:

一、任务书

二、目录

三、内容

1、设计任务及目的(黑体,小号)内容为小四,宋体

2、设计方案论证(黑体,三号)(可进行扩展或是创新设计)内容为小四,宋体

3、设计方案选取与实现(黑体,三号)(提出选择所选方案的理由、指出方案的可行性、优缺点,画出部分电路原理图)内容为小四,宋体

4、整机调试与仿真(黑体,三号)

(给出整体电路及调试参数,进行必要的误差分析,给出仿真分析结果)内容为小四,宋体

5、总结(心得体会)(黑体,三号)内容为小四,宋体

四、参考文献

数电课程设计题目选

1、抢答器

2、交通灯

3、彩灯控制

4、数字时钟

5、信号发生器 题目实例:

一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃数据测量间隔时间为5秒

〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法

2)电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法)

3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 参考原理图如图

〖主要参考元器件〗MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通精密电位器代替。

二、十二小时电子钟

〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒,并能实现校时的功能。

〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时功能 5)定时功能 参考原理图如图

三、电平感觉检测仪

〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号,给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,没试采用头戴式传感器,闭上双目,单脚立地,保持静止,开始测试。定时时间为1分钟。

〖提高要求〗: 参考电路图

〖主要参考元器件〗CD4060,555,74LS74

四、便携式快速心律计 〖基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉博跳动速率,并使用LED 〖提高要求〗:1)提高测量精度的方法 2)设计比较准确测量1S同心跳的电路 参考电路图

五、数字式定时开关

〖基本要求〗:设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒通过别一按钮控制并进行倒计时开始,计时间到驱动扬声器报警。〖提高要求〗:1)输出部分加远距离100米继电器进行控制 2)延时定时时间

3)探讨提高定时精度的方法 参考电路图

六、数字式电容测试仪

〖基本要求〗1)设计一个能测量电容容量在100Pf~100UF之间的测试仪 2)用3位数码管显示 3)多测量量程 〖提高要求〗

1)超量程判断及显示 2)击穿电容测试保护 参考电路图

七、多路防盗报警电路的设计

〖基本要求〗设计一多路防盗报警电路

多路报警器采用多路输入、同一报警输出方式实现,输入端带延时触发功能。参考电路图

〖主要参考元器件〗NE555八、八路抢答器

〖基本要求〗利用数字电路设计一八路抢答器,要求

1)允许八路参加,并具有锁定功能,用LED实现最先抢答的队号码,系统设置处部清除键,按动清除键,LED显示器自动清零灭灯。

2)数字显示功能:数字抢答器定时为30S,启动开启键以后要求

(1)定时开始(2)扬声器要短暂报警(3)发光二极管灯亮;如果在30S内抢答有效,计时就结束,30S内抢答无效,系统短暂报警,发光二极管灯灭。

〖提高要求〗1)按钮到控制中心距离为20米 参考电路图

九、篮球30S计时牌的设计 〖基本要求〗:

1)具有显示30S计时功能

2)设置外部操作开关,控制计数器的直接清零,启动和暂停连续功能 3)在直接清零时,要求数码显示器灭灯 4)计时器为30S递减时,间隔为1S

5)计时器递减讲时到零时,数码显示器不能灭灯,同时发出光电报警信号

〖主要参考元器〗:NE555(1),74ls161(1),74LSl92(2)〖提高要求〗

1)计分显示,可以进行加/减分

十、峰值检波系统

〖基本要求〗:设计一峰值检波系统测量某个建筑物的最大受力,设计要求用技术指标如下

1)其输出信号为0~5mV(400Kg/mV)2)测量用数字显示,显示范围为0000~1999 3)峰值电压保持稳定

〖提高要求〗1)传感器的输出信号为4档1~10μ V,1~10μV,10~100μ V,100~1000μ,要求能够自动切换量程。参考电路图

十一、交通红绿灯控制器

十二、可编程函数发生器 〖基本要求〗

1)用开关输入8位二进制数,要求输出50Hz ~1Kz的方波 2)要求使用D/A转换方式

3)要求频率按照由小到大自动变化。参考原理图

十三、红外线互锁开关

〖基本要求〗租用红外线发躲和接收的方式遥控十路开关,发射端有10个按钮,开关1路使用继电器,9路使用LED 〖提高要求〗

参考原理图:采用CD4017进行编码,然后驱动发光二极管发出定按键相对应的脉冲数,在接收端使用光敏三三极管和CX20106接收脉冲,用CD4017解码,控制相应的开关。主要参考元件:CD4017,CX20106,555。

十四、数字血压计

〖基本要求〗采用半导体压阻式传感器测量0~40Kap人体血压,用三位半A/D转换,数码显示

〖提高要求〗 参考电路原理图

主要元件:CD4040、CD4553、CD4511、NE555

十五、简易数字频率计 〖基本要求〗采用基本数字集成电路设计制作一简易数字频率计,要求测量频率范围为0~9999Hz 测量幅值范围为1v~10v,测量分辨为10Hz,并使用LED数码管显示。〖提高要求〗1)讨论测量误差的形成原因并提出改进方案 2)提高测频范围的方案 3)输入保护

4)输入信号为正弦波、三角波、方波的情况 5)与集成信号发生器的合成 参考电路原理图

主要元件:74LS390,74LS247,CD4060,74LS00,74LS74

十七、带报警器的密码电子锁和门铃电路 〖基本要求〗1)按钮分别为1,2….9个 2)用发光二极管作为输出指示灯

3)设计门铃电路,按动门铃按钮,发生500Hz的频率信号,并可使编码电路清零,同时可解除报警。

〖提高要求〗1)将指示灯换成继电器进行控制

2)密码顺序不对或密码有误码时系统主动复位,当开锁时间超过5Minjf ,则蜂鸣器发出1KHz的信号报警。参考电路原理图

十八、多路数据采集系统

〖基本要求〗1)实现4路温度信号的采集 2)温度范围为0~20℃,采用PT-100电阻 3)采用31/2AD转换器,LED数码管理显示 〖提高要求〗1)系统的输入为差动输入 2)讨论非线性校正方法

3)讨论提高测试精度的方法 4)讨论多路开关对测试的影响

参考电路原理图

主要元件:CD4051,LM324,ICL7107

十九、家用电风扇控制逻辑电路设计

〖基本要求〗1)实现风速的强、中、弱控制(一个按钮控制,循环)2)实现睡眠风、自然风。正常风三种风态(一个按钮控制,循环)3)LED显示状态

〖提高要求〗1)按键提示音 2)定时关机功能(以小时为单位)参考电路图

电路分成下面三大部分1)状态锁存器电路 2)、触发脉冲电路 3)、风种控制电路

主要元件:74LS00,74LS175,74LSl51,74LS08 二十、四花样彩灯控制器

〖基本要求〗设计一四花样自动切换的彩灯控制器,要求实现 1)彩灯一亮一灭从从左向右移动 2)彩灯两两亮两灭,从左向右移动 3)四亮四灭,从左向右移动

4)从1~8从左到右逐次点亮,然后逐次熄灭 5)四种花样自动变换 〖提高要求〗

参考电路图

主要参考元件:555,74LS74,74LS93,74LS153,74LS164 二

十一、光电式报警器

〖基本要求〗1)采用双光路结构,当任一被遮挡时,报警器发出间歇式声光报警 2)采用LED显示被遮挡的路数,无报警显示0,1路显示1,2路显示2,同时遮挡路显示3

3)采用5V供电

主要参考元件:光耦,555,74LS08,74L247 二

十二、电子节拍器

〖基本要求〗要求本节拍器具有声光显示功能,设有2/4,3/4,4/4三档节拍转 换开关,音响有强弱之分。节拍速度连续可调。〖提高要求〗 参考电路图

二十三、定时排气扇

〖基本要求〗实现总定时时间为8小时(可以调节,用发光二极管显示),占空比可调的间歇排气 〖提高要求〗 参才电路图

主要参考元件:555,CD4001,CD4017 二

十四、投弹游戏机

〖基本要求〗10个LED顺序点亮(移动速度可变),如果能够在点亮的同时将小球投中目标,则发出1000Hz庆贺声。〖提高要求〗

参考电路图:系统由多谐振荡器、十进制计数器、RS触发器,喇叭 主要元件:555,CD4017,CD4001 二

十五、数字式电容测试仪

〖基本要求〗同16题,采用A/D转换方式 〖提高要求〗

主要参考元件:7107,555,LM324 二

十六、宽范围可编程定时器

〖基本要求〗定时范围从几分钏到几个小时,由拨码开关预置 〖提高要求〗输出驱动继电器

系统主要电路图:分钟脉冲发生器,可预置计数器,分频器,驱动器以及报警器组成 二

十七、电阻在线测量仪 〖基本要求〗本仪器用于在不从电路板上焊下电阻的情况下检测电阻的阻值,测量的阻值分成4档,X1,X10,X100.X1K ,阻值采用31/2数字显示 〖提高要求〗 参考电路图

电阻测量部分+显示部分

主要元件:UA741,MC1403,MC14433,CC4511,MC1413,LED数码管 二

十八、新型数字温度温度计

〖基本要求〗1)利用555实现温度/脉宽转换 2)测量范围为0~50摄氏度,精度为0.1 3)用AD590温度传感器 4)数字化显示

〖提高要求〗提高测量精度的方法 参考电路图

温度由数字式温度传感器、单稳态定时电路、计数电路、译码驱动电路组成 主要元件:LM741,555,MC14553 二

十九、多功能流水灯

〖基本要求〗设计一个彩灯流水控制电路,其主要部分实现定时功能,即在预定时时间到来时,如何产生一个控制信号控制彩灯的流向、间歇等,可通过利用组合电路实现自控、手控、流向控制等功能。〖提高要求〗(1)用8个发光二极管作为彩灯显示,设计一个彩灯控制电路,能使彩灯控制电路,能使彩灯的流向可以变化,具有彩灯亮点的右移、左移、全移、全亮及全灭等功能。灯流动的方向可以手控也可自控,自控往返时间为10秒。

(2)彩灯可以间歇流动,10秒间歇1次,间歇时间为1秒。

十、基于CD4011红外线对射报警器的设计与实现 〖基本要求〗设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,红放大大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位,电路中设计报警信号锁定功能,即使现场的入侵人员离开,报警电路也将一直报警,直到人为解除方可取消报警。〖提高要求〗

十、基于555定时器的“叮咚”门铃的设计与实现

上一篇:汪郢中心小学暑期安全大家访活动工作总结下一篇:浅析以“生活为中心”的设计课五步教学法 五步教学法