数字电子技术基础课程设计:数字钟

2024-05-09

数字电子技术基础课程设计:数字钟(精选8篇)

篇1:数字电子技术基础课程设计:数字钟

目 录

目录………………………………………………………………………….……….1

1、设计目的………………………………………………………………….……...2

2、设计方案………………………………………………………………….……...2

3、设计原理及其框图……………………………………………………………....2 3.1数字钟的构成…………………………………………………..……….….……2 3.2数字钟的工作原理……………………………………………………..…...……4 3.3时间计数单元………………………………………………………………....…5 3.4译码驱动及显示单元………………………………………………………….….6 3.5校时电源电路…………………………………………………………..………..6 3.6整点报时电路…………………………………………………………………….7

4、元器件…………………………………………………………………………….7 4.1实验中所需的器材………………………………………………………………..7 4.2芯片内部结构图及引脚图…………………………………………………...…....8 4.3面包板内部结构图………………………………………………………………10

5、功能块电路图…………………………………………………………………...10

6、总结……………………………………………………………………………...18

7、参考文献………………………………………………………………………...19

一、设计目的

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。此次设计与制作数字电子钟的目的是让学生在了解数字钟的原理的前提下,运用刚刚学过的数电知识设计并制作数字钟,而且通过数字钟的制作进一步了解各种在制作中用到的中小规模集成电路的作用及其使用方法。由于数字电子钟包括组合逻辑电路和时序电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,从而实现理论与实践相结合。

总的来说,此次课程设计,有助于学生对电子线路知识的整合和电子线路设计能力的训练,并为后继课程的学习和毕业设计打下一定的基础。

二、设计方案

1.设计指标

时间以24小时为一个周期; 显示时、分、秒;

有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时; 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。2.设计要求

画出电路原理图(或仿真电路图); 元器件及参数选择; 电路仿真与调试; 3.编写设计报告

写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、设计原理及其框图

1.数字钟的构成

数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒,另外应有校时功能和一些显示星期、报时、停电查看时间等附加功能。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”,“星期”计数器、校时电路、报时电路和振荡器组成。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

图3-1所示为数字钟的一般构成框图

⑴晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

⑵分频器电路

分频器电路将32768Hz的高频方波信号经32768(数。分频器实际上也就是计数器。

⑶时间计数器电路

时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。

⑷译码驱动电路

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。

⑸数码管

数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。)次分频后得到1Hz的方波信号供秒计数器进行计 2

2.数字钟的工作原理

1)晶体振荡器电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定。

图3-2所示电路通过CMOS非门构成的输出为方波的数字式晶体振荡电路,这个电路中,CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电 阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

晶体XTAL的频率选为32768HZ。该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。

从有关手册中,可查得C1、C2均为30pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。

由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ。较高的反馈电阻有利于提高振荡频率的稳定性,非门电路可选74HC00。

图3-2 COMS晶体振荡器

2)分频器电路

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频。

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器。常用的2进制计数器有74HC393等。

本实验中采用CD4060来构成分频电路。CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。

CD4060计数为14级2进制计数器,可以将32768HZ的信号分频为2HZ,其内部框图如图3-3所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能。

图3-3 CD4046内部框图

3)时间计数单元

时间计数单元有时计数、分计数和秒计数等几个部分。

时计数单元一般为12进制计数器计数器,其输出为两位8421BCD码形式;分计数和秒计数单元为60进制计数器,其输出也为8421BCD码。

一般采用10进制计数器74HC390来实现时间计数单元的计数功能。为减少器件使用数量,可选74HC390,其内部逻辑框图如图 2.3所示。该器件为双2—5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效)。

图3-4 74HC390(1/2)内部逻辑框图

秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA(下降没效)与1HZ秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPA相连。秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图3-5所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。

图3-5 10进制——6进制计数器转换电路

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换。利用1片74HC390实现12进制计数功能的电路如图3-6所示。

另外,图3-6所示电路中,尚余-2进制计数单元,正好可作为分频器2HZ输出信号转化为1HZ信号之用。

图3-6 12进制计数器电路

4)译码驱动及显示单元

计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路。

5)校时电源电路

当重新接通电源或走时出现误差时都需要对时间进行校正。通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。

根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。图3-7所示即为带有基本RS触发器的校时电路。

图3-7 带有消抖动电路的校正电路

6)整点报时电路

一般时钟都应具备整点报时电路功能,即在时间出现整点前数秒内,数字钟会自动报时,以示提醒。其作用方式是发出连续的或有节奏的音频声波,较复杂的也可以是实时语音提示。

根据要求,电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。报时电路选74HC30,选蜂鸣器为电声器件。

四、元器件

1.实验中所需的器材,5V电源,面包板1块,示波器,万用表,镊子1把,剪刀1把,共阴八段数码管6个,CD4511集成块6块,CD4060集成块1块,74HC390集成块3块,74HC51集成块1块,74HC00集成块5块,74HC30集成块1块,10MΩ电阻5个,500Ω电阻14个,30p电容2个,32.768k时钟晶体1个,蜂鸣器。

2.芯片内部结构图及引脚图

图4-1 7400 四2输入与非门

图4-2 CD4511BCD七段译码/驱动器

图4-3 CD4060BD

图4-4 74HC390D

图4-5 74HC51D

图4-6 74HC30 3.面包板内部结构图

面包板右边一列上五组竖的相通,下五组竖的相通,面包板的左边上下分四组,每组中X、Y列(0-15相通,16-40相通,41-55相通,ABCDE相通,FGHIJ相通,E和F之间不相通。

五、功能块电路图

1. 一个CD4511和一个LED数码管连接成一个CD4511驱动电路,数码管可从0---9显示,以次来检查数码管的好坏,见附图5-1。

图5-1 4511驱动电路

2. 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00连接成一个十进制计数器,电路在晶振的作用下数码管从0—9显示,见附图5-2。

图5-2 74390十进制计数器

3. 利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00和一个晶振连接成一个六进制计数器,数码管从0—6显示,见附图5-3。

图5-3 74390六进制计数器

4. 利用一个六进制电路和一个十进制连接成一个六十进制电路,电路可从0—59显示,见附图5-4。

图5-4 六十进制电路

5. 利用两个六十进制的电路合成一个双六十进制电路,两个六十进制之间有进位,见附图5-5。

图5-5 双六十进制电路

6. 利用CD4060、电阻及晶振连接成一个分频——晶振电路,见附图5-6。

图5-6 分频—晶振电路

7. 利用74HC51D和74HC00及电阻连接成一个校时电路,见附图5-7。

图5-7 校时电路

8.利用74HC30和蜂鸣器连接成整点报时电路。见附图5-8。

图5-8 整点报时电路

9. 利用两个六十进制和一个十二进制连接成一个时、分、秒都会进位的电路总图,见附图5-9。

图5-9

六、总结

我们学习了数字电子电路和模拟电子电路,对电子技术有了一些初步了解,但那都是一些理论的东西。通过这次数字电子钟的课程设计,我们才把学到的东西与实践相结合。从中对我们学的知识有了更进一步的理解。

在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法。也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。虽然这只是一次简单的课程设计,但通过这次课程设计我们了解了课程设计的一般步骤,和设计中应注意的问题。设计本身并不是有很重要的意义,而是同学们对待问题时的态度和处理事情的能力。至于设计的成绩无须看的太过于重要,而是设计的过程,设计的思想和设计电路中的每一个环节,电路中各个部分的功能是如何实现的。各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。另外,我们设计要从市场需求出发,既要有强大的功能,又要在价格方面比同等档次的便宜。

在这次设计过程中,我也对word、画图等软件有了更进一步的了解,这使我在以后的工作中更加得心应手。

七、参考文献

康华光.2000年.电子技术基础 数字部分(第四版).北京:高等教育出版社.王慧玲.2003年.电工电子实验与实训.北京:机械工业出版社.吴建强.2004年.电工学新技术实践.北京:机械工业出版社.付家才.2003年.电工电子学习指导.北京:化学工业出版社.王建华 吴道悌.2003年.电工学实验.北京:高等教育出版社.邓玉元 蒋卓勤.2003年.Multisim 2001及其在电子设计中的应用.西安: 西安电子科技大学出版社.

篇2:数字电子技术基础课程设计:数字钟

电子1412

姓名:孙玮

苏州科技大学 电子与信息工程学院

数字电子技术基础课程设计报告

专业班级:电子1412 学号:14200106214

姓名:孙玮

指导教师:潘欣裕

2016年

07月

03日

苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

一、基础部分(共55分,利用下列芯片,构建出具有验证其逻辑或时序功能的系统,实现仿真电路,并附详细参数计算及说明)1.1、基于74138、74148编码、解码系统。(10分)

图1

图2 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图1为编码器电路,图2为解码器电路。他们的逻辑转换表如下所示。

图3

图4 74HC148在S=0电路正常的工作状态下,允许I0~ I7当中同时有几个输入端为低电

’’平,即有编码输入信号。I7的优先级最高,I0的优先级最低。当有多个输入时,编码器只

’’’会对优先级最高的进行编码,优先级较低的不会进行编码。当出现Y2、Y1、Y0都为0时,’’’可以用Ys和Yex的不同状态来区分。只有当S为0时。编码器才会工作,不为0 时,编码

’’器不工作,输出均为1。有输入时Ys为1,Yex为0,当使用两片接成16-4编码器时,第一’’片的Ys连到第二片的S。

’’ 74HC138只有当S1=1,且S2=S3=0时才会工作。数据由S1段输入,由A2A1A0来确定输出口,所以S1成为数据输入端,A2A1A0为地址输入端,以反码输出。

将73HC148的输出作为74HC138的地址输入可以实现完整的编码解码电路。’

’1.2、基于74161或74160的计数电路。(10分)苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图5 图5所示为基于74HC161的计数电路。该电路是由两片74HC161级联实现的256进制计数器。其输入端逻辑电平如下图所示。

图6

’74HC161为十六进制计数器,其从0000到1111计数。RD为0时,74HC161不论其他引

’’脚的接法直接异步置零,当CLK为上升沿时,且RD为1,LD=0是芯片工作在预置数状态,’’同步置数;CLK上升沿,RD=LD=1,芯片处于计数状态,每来一次上升沿,芯片会有一次加一。图中芯片处于计数状态,~LOAD和~CLR接1,ENP与ENT接1,芯片开始正常计数。当数据加到1111时,在RCO处产生进位。此外,通过多个级联可以实现多进制的计数器。

1.3、基于74151数据选择器的功能电路。(10分)

图7所示为基于74151数据选择器的功能电路。图8所示为74151数据选择器的逻辑转换表。74151是八选一的数据选择器,使用ABC输入地址代码,可以选择八个数据中的一个,并在Y输出,~W输出Y的取反值。例如如图中所示,当输入为D0=D1=D2=D4=D5=1,D3=D6=D7=0,A=0,B=C=1,数据选择器选择了D3,所以表现在二极管上是不导通。

苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图7

图8 1.4、基于JK触发器的时序电路。(10分)

图9 图9所示为由四个JK触发器构成的十六进制计数电路。其输出波形如下图所示。

图10 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

由图可见,各触发器驱动方程分别为T0=1 T1=Q0 T2=Q0Q1 T3=Q0Q1Q2。将上式代入T触发器

*’*’’*’(由JK触发器构成)的特性方程可得Q0=Q0Q1=Q0Q1+Q0Q1 Q2=Q0Q1Q2 *’’’Q3=Q0Q1Q2Q3+(Q0Q1Q2)Q3+(Q0Q1Q2)Q3。电路输出方程为C= Q0Q1Q2Q3。其电路状态转换表如下图所示。

图11

1.5、555的信号产生电路、施密特触发电路各一个。(15分)

图12 如图12所示为基于施密特触发器的整波电路。它的功能是将正弦波转化为方波信号。仿真的示波器截图如下图所示。苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图13 如图14所示为基于555定时器的多谐振荡电路。其充电周期T1=Ln2*(R1+R2)C2,放电周期T2=Ln2*R1*C2,T=T1+T2。因此,图中电路所产生信号频率为f=1/T=476Hz。测量波形如下图所示。

图14 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

二、提高部分(40分)

2.1、制作一个时钟电路,具有时、分、秒显示、重置、预置等功能,要求写出必要的设计过程,并画出对应的逻辑图,实现仿真。(15分)计数部分截图如图15所示;置数如图16所示;复位如图17所示。

1、秒钟设计:

秒钟是六十进制,用两片74HC160实现,第一片作为秒,十进制,第二片作为十秒,设置成六进制,并将第一片的进位信号连接到第二片的ENT与ENP;秒位满十进制进位溢出给十秒位计数信号,所以秒位计十次,十秒位计一次,从而实现六十进制。74HC160输出端接数码管读出计数。

2、分钟设计:

原理和秒钟一样,也是采用六十进制。

3、时钟设计:

时钟与之前两个不一样,设置为二十四进制,整体进行置数,当时钟达到24时直接置零,从头开始计数。

4、秒钟与分钟之间的连接:

当秒钟计到59时,会对分钟产生进位。所以用与门将秒位的二进制九和十秒位上的二进制五通过与门连接到分钟的ENT/ENP使得分钟正常计数开始,从而实现秒钟计数六十次,分钟计数一次。

5、分钟与时钟的连接:

原理与秒钟和分钟的连接类似,将秒钟和分钟上的二进制位的59通过一个与门连接到时钟的ENP/ENT,使得时钟得以正常计数,从而实现分钟计数60,时钟计数一次。

6、整体时钟的置零:

将各个位的CLR位引出来和六进制的复位连线经与门之后连接到单刀双掷开关上,CLR是低电平有效,所以当单刀双掷开关接地时,整个时钟电路时置零。

7、整体时钟电路置数:

将每一片的74HC160的输入端连接到一个开关,通过控制开关的连接控制输入1或者0。将所有芯片的Load端引至一个单刀双掷开关,低电平有效,从而实现同时置数。

以上就是设计时钟电路的简要思路。

图15 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图16

图17

2.2、用两片四位全加器74283和必要的逻辑门设计一个数制转换电路,实现将输入的两位十进制数转换成二进制数,十进制数的输入采用8421BCD码来表示,要求写出必要的设计过程,并画出对应的逻辑图,实现仿真。(15分)

图18 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

如图18所示为仿真的截图。其左端输入BCD码10001001,右端LED显示的是01011001,均分别为十进制数89。设计思路:

假设有一个两位十进制数X,其对应的八位BCD码为ABCDEFGH,即ABCD*(10000)BCD +EFGH=(X)10。上式=ABCD*(1000)B+ABCD*(10)B+EFGH,所以二进制为ABCD000+ABCD0 +EFGH=ABCD000+ ABCD0+0EFG0+H。由上式可知,H可以直接输出,其为二进制的最低位。然后我们可以用第一片74283将ABCD与0EFG求和,将得到的结果设为KLMN,进位为O。于是二进制数可以表示为KLMN0+ O00000+ABCD000+H。由此可见,M与N分别为二进制的倒数第三与第二位。而其前四位可由74283将ABCD与OKL相加得到,最终输出七位二进制数。

2.3、自主设计一个具有特定功能,且包含4个以上不同类型芯片的系统,要求写出必要的设计过程,并画出对应的逻辑图,实现仿真。(10分)

本部分我自主设计了一个四位二进制乘法器,其仿真截图如下所示。图中两个输入端分别输入了1011与1101,其乘法运算结果为10001111,与仿真结果相符。

图19 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

设计思路:

篇3:数字电子技术基础课程设计:数字钟

《数字电子技术基础》是我院应用电子技术专业的一门专业课程, 也是发展变化比较快的一门课程, 该课程和电子信息产业的发展密切相关, 随着社会经济的发展电子信息产业已经成为一个高速发展的高技术产业, 被称为朝阳产业。因此, 上好该门课对于教师来说很重要, 同时学生如何更好地学习好该课程也非常关键。

1 存在的问题

目前, 《数字电子技术基础》这门课程的高职类教材普遍存在抄袭本科教材的问题, 对本科教材中的内容进行精简, 而并没有立足于高职教育的现状, 认真分析高职学生的发展去编写教材。这种现状势必要求对课程内容不断调整改革和完善, 同时老师在这门课程的教学上仍有许多不足之处, 教学上按照书本照本宣科, 多媒体课件制作也缺乏热点, 没有吸引学生的足够兴趣;而高职的学生由于本身基础较差, 学习的习惯也不好, 导致对课程的兴趣普遍不高。因此普通的教学方式不能适应于这样的变化和学生的要求;另外, 教学中存在脱离实际和重理论、轻实践的倾向;原有的考核方式以理论考核为主, 评分标准也存在一定问题, 不能真正反映学生实践动手能力的高低。

2 改革思路

一般的教学方法, 老师照本宣科, 灌输式教学, 对于高职学生而言, 大部分本来基础就差, 这样的教学模式, 学生上课会难以集中注意力, 逐渐失去学习兴趣。笔者结合自己的多年教学经验, 从以下三个方面谈谈对高职《数字电子技术基础》课程改革的基本思路。

2.1 优化课程教材内容

目前《数字电子技术基础》的高职类教材质量上总体一般, 内容不够详细, 篇幅是有, 但是往往对知识点蜻蜓点水, 很多问题分析都没有到位, 好点的也无非是对本科教材的精简, 因此对课程内容的改革是非常必要的。

对于高职生, 要遵循“必需”和“适合”这两个原则。数字电子上最基本的东西还是一定要, 而且必需要保留, 要清楚的讲解给学生, 还有些内容上课的时候可以带过, 要求学生有兴趣的话可以自己去看看, 授课时要减少理论论证和公式方面的推导。高职学生本身水平一般, 对于理论太强的东西很难理解, 很多数字电子上面的公式需要用数学的知识来推导, 那对于大部分高职生来说还是比较抽象的, 这部分的内容可以要求记住即可。然后可以适当介绍一些当前比较高新的技术, 让学生可以了解现在电子技术的发展前沿。

2.2 教学模式的改革

随着高校硬件条件的发展, 多媒体教学开始进入课堂, 以前粉笔+黑板的单一教学模式由于很多电路不能很直观的表现给学生看, 上课的信息量会比较少, 很多内容不能够清楚的表述等缺点开始逐渐淘汰。多媒体教学在数字电子上优势明显, 它可以很直观的表现电路的结构, 比如电路中电流的流向可以很好的表现在投影仪上面, 这样学生可以得到更好的认知, 同时教师上课可以节约画图时间, 增加上课的信息量, 使上课更加的生动。当然多媒体也有自身的缺点, 那就是它呈现的图像和文字速度比较快, 特别是在有公式推导的过程中, 带来的信息量比较大, 学生看多了会感觉疲劳, 注意力开始分散, 容易产生惰性。所以, 本人认为两者的结合会比较合理, 既可以保证教学的信息量, 给学生更好地感官体验, 也可以考虑学生的接受度, 在必要的时候可以停住, 用粉笔在黑板上继续演示。

2.3 考核方式的改革

目前对学生成绩的考核多以理论为主, 比如我院的成绩里理论就占了70%, 实践只有20%, 但是根据目前社会的需求和高职教育的精神, 实践教学环节非常重要, 对大部分高职生而言, 三年的高职生活后就踏上来社会, 而以后从事的工作大多都是一线行业, 比较强调动手能力。因此如何在《数字电子技术基础》这门课上更加突出实践性就显得至关重要。传统的实验环节仅仅是对课堂理论的验证而言, 学生在实验指导书的指导下被动的完成实验指导书上规定的实验内容、方法、步骤, 从而得出相应的结论。这样的实验模式是需要的, 但是随着社会的进步, 对人才的要求也逐步提高, 创新意识显得非常重要。而传统的实验模式很显然不能够很好的培养学生独立自主、创新设计的能力, 因此本人认为在传统实验的基础上还要增加专项实践实验以及综合设计性实验。所谓专项实践实验主要是用于训练学生, 使其具备一定的专业技能, 提高电子技术应用能力, 而综合设计性实验主要用于训练学生具备综合实验能力, 指导老师给出相应的题目和设计要求, 要求学生自己去找相关资料, 分析题目设计要求, 画出电路图, 最后设计好电路并检查正确性。这样学生就完成了一个电路设计的基本过程, 可以启发学生创新思维, 培养学生自主学习精神, 突出电子技术的实用性。

另外, 还应该指导学生多去了解当前市场上的相关电子行情, 对自己所学的东西在市场上的应用前景要有一定的了解, 这样才可以更好的和社会接轨, 不至于“毕业就失业”。

3 结语

《数字电子技术基础》该课程的教学改革必须从高职教学的实际出发, 紧跟社会的发展, 注重实效, 培养学生自主学习和创新的能力, 为学生毕业以后参加工作打下较好的基础。笔者也会在相应的班级进行试验, 看改革结果如何。

参考文献

[1]阎石.数字电子技术基础[M].5版.北京:高等教育出版社, 2006.

篇4:数字电子技术基础课程设计:数字钟

关键词:数字电子技术 教学方法 实践

中图分类号:G642 文献标识码:A 文章编号:1007-3973(2013)012-386-02

《数字电子技术基础》作为本科院校开设的一门重要的专业基础课,因其具有实践性强的特点,故适应于电子科学与技术、电子信息工程、电气自动化等多个专业。当前大规模集成电路技术飞速发展,对数字电子技术的要求越来越高。大学作为未来高科技人才培养的摇篮,传统意义上的教学方法和手段已经不能满足新时代的要求,针对这种情形,本文简要阐述了这门课程教学方法的一些探讨。

1 改进教学方法,激发学生的学习兴趣

1.1 互动式教学方式

中国传统教学方式是老师讲,学生听,缺乏互动性,学生往往容易思想走神,在听课的过程中遇到问题也不能及时讨论解决,“填鸭式”教学模式使得学生逐渐对这门课失去兴趣。针对这种情况,老师首先应该将理论与实践相结合,培养学生的学习兴趣。在课程开始的时候就告诉学生学习的目标、原理和一些应用,然后在教学的过程中创造教学情景,在教学的过程中与学生互动交流,培养学生的创造思维能力,从而对这门课程产生浓厚的学习兴趣。

1.2 多鼓励学生参加实践

在实验教学过程中,充分利用学校现有的条件,多动手操作,通过实验过程发现学习的乐趣。除此以外,鼓励学生参加大学生电子设计大赛、和老师一起参与项目的研究等活动都能帮助学生发挥自主性和创造性。

1.3 引入EDA与传统的教学方式相结合

《数字电子技术基础》这门课程有个特点是图表繁多,并且经常需要解释状态之间的变化过程,传统教学方式只能通过播放PPT静态的分析各状态之间的变化过程。为了变抽象为形象,教学过程中引入EDA技术,通过这款仿真软件进行电路的模拟和演示来形象的说明电路的功能和时序波形图。

理论与实践相结合是学好这门课程的关键所在,为了加强学生的实践能力,实验教学应该做一些调整。传统的数字电子技术实验都是一些验证性的实验,为了提高大家的学习兴趣,充分发挥创造性思维,将其中的一部分改为设计型实验。

1.4 化繁为简,总结一些便于学生理解的计算方法

数字电子技术第二章逻辑代数基础是很关键的一章,它是后面章节重要的理论基础,其中里面的卡诺图化简是章节中的难点,对于初学者来说,就算找出了“包围圈”,想求出“包围圈”对应的最简式也是个难点。针对这种情况,笔者凭借多年的教学经验,总结了一个便于学生理解的简单方法,举例如下:

由图1可以看出,两个包围圈都包含了8个最小项,把这8项相加合并后得到的最终逻辑式就是最简式,但是项数一多运算起来就繁杂,这里介绍一种新的方法,将这8项全部写成4个二进制码的形式,纵向排列起来,以卡诺图下半边的8项为例。可以写成:

1100

1101

1111

1110

1000

1001

1011

1010

大家知道,这四个二进制码分别对应的是A、B、C、D四种因子,其中1对应每种因子的原变量,0对应反变量。第一列A因子8个都是1,说明8项里只有原变量A这一种类型,所以化简后的结果必然包含原变量A。第二列B因子前4个都为1,后4个都为0,1的个数与0的个数相同,化简后的结果必然能抵消,故B因子没有了。第三列和第四列1的个数也与0的个数相同,故C和D因子均没有,最后得到的化简结果只剩下A。故此归纳出,将“包围圈”里所有的最小项写成二进制的形式,只要每个因子对应的所有最小项中的1的个数与0的个数总数相等的话则该因子被抵消了。

利用这种解题思想,可以教学生求出图1中另一个包围圈最后的化简结果为。

由以上实例看出,在教学过程中,为了帮助学生更好的掌握知识,一些快捷解题方式很重要,需要我们教师在教学过程中自己不断的摸索,变繁为简。

2 考核方式改革

传统教学方式的考核都是以期末试卷作为评判依据,对于学生平时课堂和实验课上的表现起不到很好的调动作用。所以针对这种情况,平时应该注重学生的平时成绩和实践成绩,将这部分成绩纳入这门课期末成绩的参考依据,这样一来既能提高学生平时学习的积极性,又能使学生理论与实际结合的更紧密,毕业后能更好的融入社会。

3 优化教学内容

(1)在介绍课本理论知识的同时要与时俱进,将当前电子技术最前沿的知识渗透到每个知识单元。减少具体的电路知识的介绍,强化可编程逻辑器件的内容,并引入EDA技术和VHDL硬件语言到教学中来,VHDL硬件描述语言与理论部分结合紧密,贯穿组合逻辑电路、触发器、时序逻辑电路等各个章节。

(2)可以将多个相关专业课与本课程的内容结合起来讲解,如单片机技术、模拟电子技术等,融会贯通,对学生的综合能力的培养起到很大的作用。

4 总结

本文针对于传统教学方式和目前社会对电子信息类毕业生的要求,结合自己从教多年累积的经验,论述了教学方法改革的几个注意点。只有做到了以上几个方面,才能从根本上打破传统的教学模式,做到教师和学生之间从以教师为中心到师生之间合作与交流,从而帮助学生学习这门课程,将学到的知识毕业后更好地应用到社会实践中。

(本文系安徽三联学院科研基金资助项目。项目名称:无线传感网络在校舍安全中的应用(编号2013Z015))

参考文献:

[1] 赵洪.研究性教学与大学教学方法改革[J].高等教育研究,2006(2).

[2] 付青青,吴爱平.关于“数字电子技术”课程的教学改革探讨[J].湖北广播电视大学学报,2009(11).

篇5:数电课程设计-电子数字钟

班 级:

2016年 12月26日

第 1 页 目录

1、课程设计内容及要求**********************************************第3页

2、元器件清单及主要器件介绍****************************************第4页

3、原理设计和功能描述***********************************************第7页

4、数字电子钟的实现*************************************************第10页

5、总结与心得体会******************************************************第11页

第 2 页 课程设计内容及要求

1.1 数字钟简介

20世纪末,电子技术获得了飞速的发展。在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高、产品更新换代的节奏也越来越快。数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。

本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用。

1.2 设计要求

1.设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。2.整点报时。在59分59秒时输出信号,音频持续1s,在结束时刻为整点。

元器件清单及主要器件介绍

第 3 页 2.1 元器件清单(1)74LS00(1片)(2)74LS20(1片)(3)74LS161(6片)

(4)共阳七段数码显示器(6片)(5)74LS248(6片)(6)555(1片)(7)开关(3片)(8)电阻、晶振、电容、导线、锡丝等(若干)

2.2 主要元器件引脚排列及逻辑功能

1.共阳七段显示器

发光二极管(在图中以dp表示),用于显示小数点。通过七段发光二极管亮暗的不同组合,可以显示多种数字、字母以及其它符号。

LED数码管中的发光二极管共有两种连接方法:

(1)共阴极接法:把发光二极管的阴极连在一起构成公共阴极。使用时公共阴极接地,这样阳极端输入高电平的段发光二极管就导通点亮,而输入低电平的则不点亮。实验中使用的LED显示器为共阴极接法

(2)共阳极接法:把发光二极管的阳极连在一起构成公共阳极。使用时公共阳极接+ 第 4 页 5V。这样阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点亮。

注: 课设中使用的是共阳极数码管。2.74LS161芯片介绍

74LS161是十进制同步计数器(异步清除)。其管脚图及逻辑

功能引脚图:

Qcc进位输出端 CR 清零 Q1-Q3 输出端 CP 脉冲 D0-D3 数据输入

3.译码器(74LS247)

74LS247各引脚功能说明如下图:6、2、1、7脚为译码输入(即编码输出);9—15为

第 5 页 译码输出;

8、16脚为电源正负极。

74LS247译码器功能表

原理设计和功能描述

第 6 页

3.1数字计时器的设计思想

要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。

3.2数字电子钟总体框架图

(一)计数器

秒脉冲信号经过6级计数器,分别得到“秒”个位、十位、“分”个位、十位以及“时”个位、十位的计时。“秒”“分”计数器为六十进制,小时为二十四进制。

(1)六十进制计数

由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完成一分钟之内秒数目的累加,并达到60秒时产生一个进位信号,所以,选用一片555组成六十进制计数器,来实现六十进制计数。其中,“秒”十位是六进制,“秒”个位是十进制。

第 7 页(2)二十四进制计数

“12翻1”小时计数器是按照“01——02——03——„„——22——23——00——01——02——„„”规律计数的,这与日常生活中的计时规律相同。在此实验中,它是由一片555构造成的同步二十四计数器,利用异步清零端实现起从23——00的翻转,其中“24”为过渡状态不显示。其中,“时”十位是3进制,“时”个位是十进制。

(二)显示器

本系统用七段发光二极管来显示译码器输出的数字,显示器有两种:共阳极显示器或共阴极显示器。74LS247译码器对应的显示器是共阳极显示器。

3.2 数字电子钟原理图

3.5PCB图

第 8 页

3.5数字电子钟的组装与调试

由图3-1中所示的数字中系统组成框图按照信号的流向分级安装,逐级级联。这里的每一级是指组成数字中的各个功能电路。

级联时如果出现时序配合不同步,或剑锋脉冲干扰,引起的逻辑混乱,可以增加多级逻辑门来延时。如果显示字符变化很快,模糊不清,可能是由于电源电流的跳变引起的,可在集成电路器件的电源端Vcc加退藕滤波电容。通常用几十微法的大电容与0.01μF的小电容相并联。

数字电子钟的实现

第 9 页

PCB板正面

PCB板背面

第 10 页

总结与心得体会

此次课程设计,我们三个人分工合作,努力把课程设计做好,在完成数字时钟的基本功能的前提下,不断完善它的外观、课程设计的费用等其他外部问题。坚持做好课程设计的每一步。

刚开始的PCB的制作是由杨宜谚负责具体实施部分,万梓杰负责的是各种器件的购买,我则负责报告的撰写。每个人都有相应的任务,负责的部分都需要付出巨大的努力才能得到相应的收获。在此我们明白了团结合作的重要性。

具体的实施过程中我们也遇到了许多的困难。刚开始的PCB制作,我们多次尝试未果,总是出现各种问题,在实验室学长同学等的帮助下,我们最终弄出来了。在实际制作板子的过程中我们也遇到了一个问题。导致总是不能成功,我们几个不断想尽办法,然而结果却不是很理想,最终知道就是一个小小的错误的时候,我们就知道了细节也是我们需要的极其注重的一个地方。一个数码管是坏的。我们做课设的时候不仅要注重整体把握,同时也需要细节上的不错误。

篇6:数字电子技术电路课程设计

题 目:数字时钟说明书

所在学院:信息工程学院

专 业:通信工程

班 级:

授课教师:

小组成员:

时 间:

16--1

2014-6-10

数字时钟说明书

数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直 观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用 数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。

一、设计目的

1.熟悉集成电路的引脚安排.2.掌握各芯片的逻辑功能及使用方法.3.了解面包板结构及其接线方法.4.了解数字钟的组成及工作原理.5.熟悉数字钟的设计与制作.二、设 计 要求

1.显示时,分,秒,用24小时制 2.能够进行校时,可以对数字钟进行调时间 1.设计指标

时间以24小时为一个周期;显示时,分,秒;有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号.画出电路原理图(或仿真电路图);判断元器件及参数选择;电路仿真与调试;PCB文件生成与打印输出.3.制作要求 自行装配和调试,并能发现问题和解决问题.4.编写设计报告 写出设计与制作的全过程,附上有关资料和图纸,有心得体会.1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路.由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定.通常使用石英晶体振荡器电路构成数字钟.图 3-1所示为数字钟的一般构成框图.1.秒脉冲发生器 脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器发出的脉冲经过整形、分频获得1Hz的秒脉冲。如晶振为32768 Hz,通过15次二分频后可获得1Hz的脉冲输出.2.计数译码显示

秒、分、时、日分别为60、60、24、7进制计数器、秒、分均为60进制,即显示00~59,它们的个位为十进制,十位为六进制。时为二十四进制计数器,显示为00~23,个位仍为十进制,而十位为三进制,但当十进位计到2,而个位计到4时清零,就为二十四进制了。

⑴晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定.不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路.⑵分频器电路

分频器电路将32768Hz的高频方波信号经32768()次分频后得到1Hz的方波信号供秒计数器进行计数.分频器实际上也就是计数器.⑶时间计数器电路

时间计数电路由秒个位和秒十位计数器,分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器,分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器.⑷译码驱动电路

译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流.⑸数码管

数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管.2.数字钟的工作原理 1)晶体振荡器电路

晶体振荡器是构成数字式时钟的核心,它保证了时钟的走时准确及稳定.晶体XTAL的频率选为32768HZ.该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数.当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施.由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为1.8KΩ.较高的反馈电阻有利于提高振荡频率的稳定性.2)分频器电路

通常,数字钟的晶体振荡器输出频率较高,为了得到1Hz的秒信号输入,需要对振荡器的输出信号进行分频.通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,将32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器.常用的2进制计数器有74HC393等.3)6进制计数器转换电路

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连.时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换.利用1片74HC390实现12进制计数功能的电路如图3-6所示.4)译码驱动及显示单元

计数器实现了对时间的累计以8421BCD码形式输出,选用显示译码电路将计数器的输出数码转换为数码显示器件所需要的输出逻辑和一定的电流,选用CD4511作为显示译码电路,选用LED数码管作为显示单元电路.5)校时电源电路

当重新接通电源或走时出现误差时都需要对时间进行校正.通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可.根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中.图3-7所示即为带有基本RS触发器的校时电路, 1.实验中所需的器材 5V电源.面包板1块.示波器.万用表.镊子1把.剪刀1把.网络线2米/人.共阴八段数码管6个.HD74LS48P芯片6个.HD74LS90P芯片6个.HD74LS08P芯片2个.555芯片一个.1.8KΩ电阻一个.设计图为:

面包板内部结构图

面包板右边一列上五组竖的相通,下五组竖的相通,面包板的左边上下分四组,每组中X,Y列(0-15相通,16-40相通,41-55相通,ABCDE相通,FGHIJ相通,E和F之间不相通.个功能块电路图

一个CD4511和一个LED数码管连接成一个CD4511驱动电路,数码管可从0---9显示,以次来检查数码管的好坏,见附图5-1.利用一个LED数码管,一块CD4511,一块74HC390,一块74HC00连接成一个十进制计数器,电路在晶振的作用下数码管从0—9显示, 总接线元件布局简图,见附图6-1 芯片连接图见附图7-1 八,总结

设计过程中遇到的问题及其解决方法.在检测面包板状况的过程中,出现本该相通的地方却未通的状况,后经检验发现是由于万用表笔尖未与面包板内部垂直接触所至.在检测CD4511驱动电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失.用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,再用万用表欧姆档检测每一根线是否接触良好,在检测过程中发现有几根线有时能接通,有时不能接通,把接触不好的线重新接过后发现能正常显示了.其次是由于芯片接触不良的问题,用万用表欧姆档检测有几个引脚本该相通的地方却未通,而检测的导线状况良好,其解决方法为把CD4511的芯片拔出,根据面包板孔的的状况重新调整其引脚,使其正对于孔,再用力均匀地将芯片插入面包板中,此后发现能正常显示,本次实验中还发现一块坏的LED数码管和两块坏的CD4511,经更换后均能正常显示.在连接晶振的过程中,晶振无法起振.在排除线与芯片的接触不良问题后重新对照电路图,发现是由于12脚未接地所至.在连接六进制的过程中,发现电路只能4,5的跳动,后经发现是由于接到与非门的引脚接错一根所至,经纠正后能正常显示.在连接校正电路的过程中,出现时和分都能正常校正时,但秒却受到影响,特别时一较分钟的时候秒乱跳,而不校时的时候,秒从40跳到59,然后又跳回40,分和秒之间无进位,电路在时,分,秒进位过程中能正常显示,故可排除芯片和连线的接触不良的问题.经检查,校正电路的连线没有错误,后用万用表的直流电压档带电检测秒十位的QA,QB,QC和QD脚,发现QA脚时有电压时而无电压,再检测秒到分和分到时的进位端,发现是由于秒到分的进位未拔掉所至.在制作报时电路的过程中,发现蜂鸣器在57分59秒的时候就开始报时,后经检测电路发现是由于把74HC30芯片当16引脚的芯片来接,以至接线都错位,重新接线后能正常报时.连接分频电路时,把时个位的QD和时十位的1脚断开,然后时十位的1脚接到晶振的3脚,时十位的3脚接到秒个位的1脚,所连接的电路图无法正常工作,时十位从0-9的跳,时个位只能显示一个0,在这个电路中3脚的分频用到两次,故无法正常显示,因此要把12进制接到74HC390的一个逻辑电路空出来用于分频即可,因此把时十位的CD4511的12,6脚接地,7脚改为接74HC390的5脚,74HC390的3,4脚断开,然后4脚接9脚即可,其中空出的74HC390的3脚就可用于2Hz的分频,分频后变为1Hz,整个电路也到此为正常的数字钟计数.2.设计体会

在此次的数字钟设计过程中,更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法.在连接六进制,十进制,六十进制的进位及十二进制的接法中,要求熟悉逻辑电路及其芯片各引脚的功能,那么在电路出错时便能准确地找出错误所在并及时纠正了.在设计电路中,往往是先仿真后连接实物图,但有时候仿真和电路连接并不是完全一致的,例如仿真的连接示意图中,往往没有接高电平的16脚或14脚以及接低电平的7脚或8脚,因此在实际的电路连接中往往容易遗漏.又例如74HC390芯片,其本身就是一个十进制计数器,在仿真电路中必须连接反馈线才能正常显示,而在实际电路中无需再连接,因此仿真图和电路连接图还是有一定区别的.在设计电路的连接图中出错的主要原因都是接线和芯片的接触不良以及接线的错误所引起的.3.对该设计的建议

篇7:数字电子钟课程设计

一. 引言„„„„„„„„„„„„„„„„„„„„„„„2

二. 课程设计目的„„„„„„„„„„„„„„„„„„„2

三. 设计所需要的器材„„„„„„„„„„„„„„„„„2

四. 课程设计原理„„„„„„„„„„„„„„„„„„„2

五. 课程设计各个部分模块的介绍„„„„„„„„„„„„2

1.振荡器„„„„„„„„„„„„„„„„„„„„„„„„„„„„2.2.分频器„„„„„„„„„„„„„„„„„„„„„„„„„„„„3.3.计数器„„„„„„„„ „„„„„„„„„„„„„„„„„„„„3.4.译码器„„„„„„„„„„„„„„„„„„„„„„„„„„„„3

5.显示器„„„„„„„„„„„„„„„„„„„„„„„„„„„„4

6.正点报时的扩展电路„„„„„„„„„„„„„„„„„„„„„„4

六.设计总结„„„„„„„„„„„„„„„„„„„„„„4

七.心得体会„„„„„„„„„„„„„„„„„„„„„„4

八.各部分电路图„„„„„„„„„„„„„„„„„„„5—8

九.总电路图„„„„„„„„„„„„„„„„„„„„„.9

一.引言

当今时代,电子技术迅猛发展,各种各样的电子产品也相继出现,数字电子钟也应运而生。数字电子钟能够将时间以数字的形式直观地展现出来,让人们更加清楚地掌握时间,因此备受人们的青睐。数字钟是采用数字电路来实现的,以“时”、“分”、“秒”的形式直观地显示时间。它已成为人们日常生活必不可少的一部分,广泛地应用在各家各户以及车站等公共场所,数字钟的广泛应用,有着非常现实的意义,由于数字集成电路的发展,使得数字电子钟的精度,远远超过老式钟表, 而且具有较好报时功能。本设计采用各种集成电路,进行了一个具有正点报时功能的数字电子钟的设计。由于本人能力有限,设计中如有不足之处,还请老师批评指正。

二.课程设计目的1.独立完成一个数字电子钟的设计;

2.了解和掌握用数字集成电路来设计数字钟的基本原理和方法;

3.掌握N进制计数器的设计与并了解一些常用的电子芯片的功能;

4.进一步巩固所学到的理论知识,并应用所学知识分析和解决实际问题;

三.设计所需要的器材

1.555定时器一个

2.电阻:2K、10K、5.1K、0.3K各一个;1K电阻42个

3.电容:0.1ūF、0.01ūF各一个

4.芯片:74LS90(三个)、74LS161(两个)CD4518一个、CD4511(六个)

5.共阴极七段显示器(六个)

6.喇叭1个

四.课程设计原理

数字钟是由振荡器、分频器、计数器、译码器、显示器以及具有正点报时功能的扩展电路所构成的。

欲设计一个数字电子钟,首先应该有一个脉冲源(能够自动的产生稳定的标准时间脉冲信号),即为振荡器;但是一般脉冲源所产生的脉冲信号的频率较高,所以,就需要使用分频器对其进行分频,从而得到适合用来计时的秒脉冲信号,即频率为1Hz的秒脉冲信号;经过分频器输出的秒脉冲信号,再进入计数器当中进行计数,又由于在计数时,北京时间规定60秒为一分钟,60分钟为一小时,24小时为一天,因此就需要两个60进制的计数器和一个24进制的计数器;计数器计数完毕后再经过译码器进行译码;最后在显示器中将累计结果以“时”、“分”、“秒”的形式显示出来。能够正点报时的扩展电路完成了对整时的提示,使人们能够更清楚地掌握时间。图1为数字钟的逻辑框图。

五.课程设计各个部分模块的介绍

1.振荡器

振荡器的精确度和稳定性对电子钟的质量影响最大,石英晶体振荡器具有震

荡频率准确、频率容易调整且电路结构较简单的优点。但一般来讲,如果振荡器的频率和其计时精度越高,则其耗电量越大。555定时器是一种将模拟功能与逻辑功能巧妙地结合在一起的中规模集成电路,功能灵活,所以本设计采用由集成电路定时器555与RC组成的多谐振荡器。555定时器由电阻分压器、比较器、基本RS触发器、双极型三极管T和输出缓冲器组成,其外部有八个引脚,第8脚为电源端,第1脚为接地端,第3脚为输出端,第4脚为直接复位端,第5脚为控制电压输入端,第6脚为复位控制端,第2脚为置位控制端,第7脚为放电端。图2为由集成电路定时器555与RC组成的多谐振荡器的电路图,图3为555定时器的引脚图。R为可调电阻,调节R1时可以得到相应频率的信号输出。

2.分频器

由于振荡器所产生的信号频率很高,因此需要由分频器来实现对信号频率的调整,从而得到频率为1Hz的脉冲信号,本设计采用3片中规模集成电路计数器74LS90来实现,从而得到设计所需要的秒脉冲信号,其电路图如图4所示。

3.计数器

“秒”和“分”计数器应当采用60进制计数器,而“时”计数器应当采用24进制计数器。秒脉冲信号经过六级计数器以后,分别得到“秒”的个位、十位,“分”的个位、十位,“时”的个位、十位的计时。

60进制计数:“秒”和“分”的计数都需要60进制,本设计根据《电子技术》课本中提到的知识,采用两片74LS161组成256进制计数器后再用反馈归零法来组成60进制计数,其中,“秒”十位是六进制,“秒”个位是十进制其电路图如图5所示。74LS161芯片的引脚排列图和逻辑功能示意图如图6所示。图中C是输入计数脉冲,CR非是清零端,LD非是置数端,CTp和CTt是计数工作状态控制端,D0~D3是并行数据输入端,CO是进位信号输出端,Q0~Q3是计数器状态输出端。

24进制计数:“时”的计数是24进制计数,本设计采用CD4518来实现24进制计数,CD4518是一个同步加法计数器,在一个封装中含有两个可互换二/十进制计数器,其功能引脚分别为1~7和9~{15}.该CD4518计数器是单路系列脉冲输入(1脚或2脚;9脚或10脚),4路BCD码信号输出(3脚~6脚;{11}脚~{14}脚)。完成24进制计数的电路图如图7所示,CD4518的引脚图如图8所示。

4.译码器

我们在新校区做电子试验时,在“译码器及其应用”实验中曾用到芯片CD4511,对其较为熟悉,因此本设计采用数字显示译码器CD4511,来实现计数器传来的信号的译码功能。译码是编码的逆过程,即,将给定的代码进行翻译的过程。当计数器所采用的码制不同时,译码电路也会随之不同。CD4511内接有

上拉电阻,故只需在输入端与数码管笔段之间传入限流电阻即可工作。其特点为:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。CD4511的引脚图如图9所示。

5显示器

本设计用七段发光二极管来显示译码器所输出的数字,显示器有共阳极显示器和共阴极显示器两种,而74LS48译码器所对应的显示器是共阴极(接地)显示器。LED7段显示器的外形图及二极管的连接方式如图10所示。

6.正点报时的扩展电路

该正点报时的功能为:最外端对其安装一个喇叭,每当正点到来时,按4次低音和一次高音的顺序发出间断声响,最后一声高音结束的时刻正好是正点。设声响为一秒钟,则相邻声响时刻为2秒,则低音发声时刻分别为59分51秒、53秒、55秒、57秒,高音发声时刻为59分59秒,由此可定出每次声响的时刻。部分门电路控制音响,输入有时、分的各相应位的控制组合。设高低音频率分别为512Hz和1024Hz。其电路图如图11所示。

六.设计总结

本数字电子钟的设计是由振荡器、分频器、计数器、译码器、显示器和正点报时电路所组成。但本设计电路的缺点是:没有设计校时电路,即据该方案进行生产得到的产品并无校时功能;且其只能正点报时,而不能报整时数。该设计方案的优点是:采用北京时间计时,直接将时间以数字形式表现出来、精确度较高、走时稳定、使用方便、且它具有正点报时功能。本设计采用有集成电路定时器555与RC组成的多谐振荡器组成,由它得到高频信号;再将此信号传至由3片中规模集成电路计数器74LS90相串联得到的分频器中,从而得到我们计时所需要的秒信号(频率为1Hz的秒信号);之后再将该信号传至计数器,计数器计数的准确性直接影响数字表的准确,且计数器部分是我们《电子技术》课程学习的重点,计数器包括两部分,即24进制计数和60进制计数,本设计24进制计数采用CD4518来实现,60进制采用我们《电子技术》课本上学到的方法:采用两片74LS161组成256进制计数器后再用反馈归零法来组成60进制计数器;经过准确计数后,再将信号传至译码器,由于我们在新校区做电子试验时,在“译码器及其应用”试验中曾用到芯片CD4511,故本设计采用显示译码器CD4511,来进行对来自计数器信号的译码(需要在输入端与数码管笔段之间串入限流电阻);最后将时间以数字形式体现在显示器上,显示器由七段发光二极管采用共阴极接法组成;本设计还有一个能够正点报时的扩展电路,它的功能是每当正点到来时,按4次低音和一次高音的顺序发出间断声响,它由组合逻辑电路组成。其总电路图如图12所示。

七.心得体会

通过这次对数字电子钟的课程设计,我觉着最大的收获就是增强了自己独立收集资料的能力,锻炼了自己独立思考、独立解决问题的能力。虽然我们至此已经完成了本学期对电子技术课程(模电部分和数电部分)的学习,但在本次课设的实际应用当中仍然遇到了很多未曾想到的问题。实际操作是我们的目的,而理论知识是我们实际操作的基础,这使我更加体会到了理论联系实际的重要性,同时也增加了自己解决实际问题的能力,对独立设计电路的过程、对各个分块电路的工作原理和功能的实现过程都有了更加清楚的了解。同时对所学到的理论知识有了更近一部的理解(尤其是计数器部分)。

此外,通过这次的课程设计,使得我对word等应用软件的应用能力有了更进一步的提高,为以后的工作和日常生活中的应用打下了结实的基础。

篇8:数字电子技术基础课程设计:数字钟

1 课程教学现状的分析

目前国内高校该门课程所采用的教材大多以刘清堂主编的《数字媒体技术导论》为主, 该教材是21世纪数字媒体技术专业的规划教材, 内容通俗易懂, 体系科学、知识点较多。

1.1 课程教学内容的分析

该门课程包括了理论与实践两部分, 课程内容结构如表1所示:

基础理论部分以数字音视频、图像处理、游戏设计技术以及Web集成与应用为重点, 基于这样的考量是因为在后续专业课中有多门课程与此相关, 例如低年级的学生对培养方案中有多门语言类课程感到困惑, 本门课程中的游戏设计实践就引导学生理解学好语言类课程对这部分的学习有重要的意义。

1.2 课程教学方法的分析

在教学过程中采取恰当的教学方法将直接影响教学效果, 在教学中应采用理论知识指导实践操作, 操作能力确保完成作品设计的教学步骤, 一味强调理论教学, 学生缺乏应有的学习动力, 而且学生也感到课程难度大, 作为专业导入性课程的目的得不到体现。通过总结多年的教学经验, 案例式教学将极大提高本课程的教学效果。

案例教学法可使教学目标更清晰, 有利于教学过程的掌控, 在实验操作时也利于学生模仿, 因此案例式教学可以促进隐性知识与显性知识的不断转化, 通过具体的情境, 将隐性的知识外显, 或将显性的知识内化。

采用案例教学法时, 案例的选择要根据教学内容和教学对象的不同, 精心选择和设计, 每一章的案例为最终的作品所用。如在讲解数字图像的处理技术中采用以下步骤完成:

1) 案例导入:通过演示所设计一个的网站, 提出问题让学生思考在网页设计中采用了什么素材。

2) 案例剖析:在教师的指导下学生理解音频、视频、FLASH、图片、文字等素材在网页设计中的作用。引申出基于Photoshop的网页页面设计, 最后如何采用Fireworks实现网页的无缝连接。

3) 案例精讲:结合已具备的Photoshop基础, 详细讲解基于Photoshop的网页页面设计, 既达到对Photoshop软件高级技术的演示, 又达到如何使用软件形成作品的过程。

4) 总结案例, 布置实验内容:结合案例的实现过程和所学知识, 布置实验内容, 学生可利用课余时间收集素材, 根据自己确定的主题准备下次实验课的内容。

5) 教学效果评估:根据学生实验过程总结学生在实验中碰到的难点以及存在的问题, 完善案例教学以达到解决这些问题的目的。

2 以研究性学习, 提高学生对课程内容的掌握

教学过程是一个双向的活动, 为提高学生的自主学习能力, 教师在教学中充当主导作用, 而学生充当主体作用, 因此在强调教师“教”的同时, 同样强调学生的“学”。研究性学习是指学生在教师指导下, 从学习生活和社会生活中选择和确定研究专题, 主动地获取知识、应用知识、解决问题的活动。

2.1 以任务驱动法促进研究性学习的展开

采用案例式教学法, 便于学生的模拟实验, 模拟实验对引导学生掌握基本的操作有很大的帮助, 但这种按部就班的实验内容对学生的开发动力、想象力的培养作用有限, 完全机械式的实验会限制学生的个性发展。在任务驱动的组织上, 采取总任务与模块化任务相结合、实验课与开放实验相结合的策略。

在完成了所有实验课的项目开设以后, 教师指导学生成立每5人左右的小组, 由学生自选项目, 确定总任务, 根据项目划分模块, 从而确定子任务, 学生利用所学知识收集相关素材。每一个学生充当一定的角色, 缺一不可, 任务必须在规定的时间内完成, 这样既能培养自主获取知识的能力, 又能培养团队协作的能力。

教师在整个活动中应定期检查任务进展情况, 对学生在实施过程中难以解决的问题及时提供帮助, 同时在实验条件上应保证活动的顺利进行。

2.2 以校内外科技竞赛促进研究性学习的深度

数字媒体技术专业作为工科性质的学科, 需要学生具有很强的动手设计能力。课堂任务驱动仅仅是研究性学习的第一步, 通过任务完成的情况, 吸收一定数量的学生进入院系创新活动中心, 培养学生参加各层次的科技竞赛活动。

数字媒体技术所涉及的研究领域很广, 为学生参加科技竞赛提供了广阔的空间, 如程序设计大赛、DV设计、网页设计、动画设计、3D设计大赛等, 层次有校内的、省内的乃至全国性质的, 通过参加这些活动, 达到深化专业研究领域的研究性学习以及教师与学生之间、高年级与低年级之间的“传、帮、带”作用, 使整个学科的发展呈现良性的发展。

可见, 数字媒体技术基础课程对学生确定研究内容起着重要作用, 为学生尽快进入专业学习起着铺垫的作用。

3 总结

学生的专业学习需要有一个良好的开端, 数字媒体技术基础课程是学生接触的第一门专业基础课, 其重要性不言而喻, 加强对课程改革研究具有现实意义, 通过教师课堂理论的案例教学法、学生实践的研究性学习, 提高了学生学习专业知识的兴趣, 培养了学生团队协作的能力, 建设了专业科技竞赛的梯队, 最终实现课程教学效果最优化。

参考文献

[1]丁向民.诠释数字媒体技术导论中的“导”[J].计算机教育, 2012 (23) :63-66.

[2]金冉, 万忠.以案例教学和设计竞赛促数字媒体技术基础课程改革[J].浙江万里学院学报, 2010, 24 (2) :109-111.

上一篇:晚会开场白1分钟下一篇:家庭教育新理念