EDA实验报告(四位全加器的实现)

2024-04-28

EDA实验报告(四位全加器的实现)(通用2篇)

篇1:EDA实验报告(四位全加器的实现)

计算机09-3班

郑秀枫

09081311 实验一

四位全加器的实现

一、实验目的

1、掌握Quartus9.0图形编辑输入法

2、掌握Quartus环境下文件的编译、仿真及下载方法

3、了解VHDL语言的设计流程

4、掌握quartus环境下VHDL的使用方法

二、实验内容

1、用图形/原理图法实现4位全加器。

2、用VHDL语言实现4位全加器,必须使用元件例化。

3、仿真并通过。

3、下载到实验板,并验收

三、实验步骤

1、图形编辑发设计4位加法器

(1)新建图形文件,设计一位全加器,逻辑电路图如下图(图1-1)所示。

图1-1(2)将设计好的一位全加器进行例化,操作为fileCreate/UpdateCreate symbol files for currentfile,完成此操作后会在元器件符号表里找到刚刚做好的一位全加器。

(3)再新建一个图形文件,用四个已经做好的一位全加器级联成一个四位全加器,其逻辑原理图如图1-2所示。编辑好后保存文件,在文件列表里找到该文件,右键Set as Top-level Entity,将其设置为顶层文件,点击编译按钮就行编译。

计算机09-3班

郑秀枫

09081311

图1-2(4)新建波形文件,赋予每个输入端口某种输入信号,保存波形文件,进行功能仿真,观察输出端波形与输入信号关系是否正确。若不正确,查找问题所在并解决问题;若正确,则进行管脚分配,分配完毕后再编译一次使分配生效,连接DE2开发板到电脑,将文件下载到开发板进行验证。

2、用VHDL语言设计4位加法器

(1)新建一个VHDL源文件,文件名为adder1.vhd,使用VHDL实现一位全加器,其VHDL代码如下:

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;

ENTITY adde1r IS PORT(A,B,Ci:IN STD_LOGIC;

S,Co:OUT STD_LOGIC);END adder1;ARCHITECTURE qadder OF adder1 IS BEGIN PROCESS(A,B,Ci)

VARIABLE n1,n2,n3:STD_LOGIC;BEGIN

n1:=A AND B;

n2:=A XOR B;

计算机09-3班

郑秀枫

09081311

n3:=Ci AND n2;

Co<=n3 OR n1;

S<=n2 XOR Ci;END PROCESS;END qadder;(2)再新建一个VHDL源文件,命名为adder4.vhd,在这里将adder一位全加器例化并使用它,做成四位全加器,代码如下:

library ieee;use ieee.std_logic_1164.all;entity adder4 is port(A,B:in std_logic_vector(3 downto 0);

S:out std_logic_vector(3 downto 0);Co:out std_logic;Ci:in std_logic);end adder4;architecture adder_4 of adder4 is component adder port(A:in std_logic;

B:in std_logic;

Ci:in std_logic;

Co:out std_logic;

S:out std_logic);

end component;signal c1,c2,c3:std_logic;begin u1:adder port map(A(0),B(0),Ci,c1,S(0));u2:adder port map(A(1),B(1),c1,c2,S(1));

u3:adder port map(A(2),B(2),c2,c3,S(2));

u4:adder port map(A(3),B(3),c3,Co,S(3));end adder_4;(3)保存文件后将adder4设置为顶层文件并编译,编译通过后按照与图形编辑发一样的仿真、管脚分配方式进行操作,最后下载到开发板验证

四、实验现象

两种方式实现的四位加法器下载到DE2开发板后都可正常工作,其中使用SW0作为低位的进位,SW4~1作为数据B,SW8~5作为数据A,LDG3~0作为输出的结果,LEDG4作为输出的进位。当SW4~1闭合 SW8~5和SW0断开时,只有LEDG3~0这四个灯亮;当SW8~0全闭合时,LEDG4~0灯全亮。

计算机09-3班

郑秀枫

09081311

中国石油大学(华东)

课题名称

实验项目名称 专业

姓名

EDA设计

实验一四位全加器的设计

计算机科学与技术

孙文吉

篇2:EDA实验报告(四位全加器的实现)

英语“四位一体”教学法(The English “Four-in-One” Teaching Approach)是包天仁教授经过20多年潜心学习、研究、实践、总结而创立的英语外语教学法。英语“四位一体”教学法自1997年开始在全国30多个省、自治区、直辖市进行了实验、再实验、扩大实验和推广性实验等共15轮实验研究工作,而且得到了实践的检验。2014年,《中国教育报》用了专版对此进行报道。

针对湄潭中学高二学生的英语水平低下,自主学习性不强,我们急需且非常有必要采用一个适宜的方法进行课堂教学,能够提高学生的成绩,期望能够改变我校英语教学的现状。所以湄潭中学高二英语备课组决定参与该项实验。

二、实验目的

1.践行“四位一体”的基本原则,充分发挥学生学习的积极性。以学生为主体,确保各类学生的英语成绩都有大幅度的提高。

2.通过本课题的研究,期望达到以下目标:使课堂环节高效开展,有效提高课堂实效性和学生成绩,进而提高学生学习英语的兴趣,培养他们良好的自学能力。

三、实验教师和学生情况

湄潭中学筹备的“四位一体”课题研究组实验教师共6人,以张琼秀校长(分管教学)为组长,其他五位实验教师分别是李冠超,廖观涛,王晓秋,华劲,李忠华。

本课题的实验对象是湄潭中学高二年级学生,分8个理科班和3个文科班,并无重点班与普通班之分。他们在英语学习上有个显著特点是英语基础知识薄弱、很多同学缺乏对英语的热爱、大都没有自主学习的意识,导致英语教学不是很理想,及格率较低,学生学得吃力,老师教得费力。

四、实验计划及具体措施

1.申请立项阶段(2012年9月-12月)。积极筹备课题组,研读“四位一体”相关资料,得到学校、县教研室的支持后,选择“四位一体”在日常教学中的运用这一课题进行申报,得以成功立项。(课题编号:JJWYZD2001008)

2.学习、准备阶段(2013年2月)。通过“四位一体”教学网一起学习了“四位一体”教学法的理论及内容,收集了与本课题有关的研究资料,学习有关中外教学理论。撰写了研究方案,小组内部达成了共识。

3.第一实验阶段(2013年3月-5月)。在实验实施之前,实验班和对比班用同一张试卷(前测题)进行测试,由非本组老师评卷,并保存好考试成绩。制定《湄潭中学“四位一体”课题组实施计划》,遵循“四位一体”教学的基本原则,尝试“4P”模式指导日常教学,使学生从零散知识的学习过渡到知识的系统归纳,并两周开展一次以课堂实录为载体的专题研讨活动。对高二下学期期中考试成绩进行分析,分析原因找出不足。

4.第二阶段实验(2013年5月-7月)。继续进行日常教学实验,然后进行后测题的测试,并请高一英语备课组的老师进行阅卷。接着,重点对期末成绩进行横向比,横向比较指的是根据2012-2013学年第二学期英语科期末成绩,实验班和对比班进行比较,主要衡量及格率、平均分、优秀率等几个指标。最后,对本学期的教学成绩和上学期成绩进行纵向比较。

5.总结、验收阶段(2013年7月下旬-8月)。发放师生问卷调查表并进行统计,收集各成员间的关于“四位一体”教学的优秀教案、课件、课堂实录、各种研讨记录、图片及论文,并形成实验报告以备全国“四位一体”课题核心小组审查。

五、教学成绩及实验成果

对高二年级第一学期期末英语教学统计后,我们惊喜地发现实验教师的实验班的及格率和平均分均略高于对比班;从第二学期的期末成绩对比表我们发现同一个实验教师的实验班的教学成绩高于对比班,而且还有一定的差距,个别实验班的及格率是对比班的一倍。由此可见,如果经过一段更长时期的实践的话,这个差距还会越来越明显,实验证明了“四位一体”在日常教学中的应用是一个适合我校学生进行英语学习的好方法,能有效提高学生学习效率,从而提高学生学习成绩。

六、实验经验体会

1.英语“四位一体”教学法的精髓之一是“精讲精练”。在缺乏英语自然习得环境的情况下进行英语教学“精讲”是必须的,“精练”是学习英语的必由之路,因为语言是技能,而不是单纯的知识,学习一门语言,不仅仅是掌握一套套语言规则,积累大量词汇、句型,最终还是要看学习者利用语言规则来进行实际语言运用。“精练”不应单纯地被理解为做题;用英语进行的语言训练既要有口头的,又要有书面的;课内外作业与课堂教学形成互补关系。

2.学好英语的前提是遵循语言学习的规律,因此,“循序渐进”是必要的。高中三年各个学段的侧重点有所不同,期间的衔接很重要。另外,我国的英语教学定位为外语教学,要特别注意处理好输入和输出的关系。

3.切实坚持以教师为主导,以学生为主体。只有真正做到以教师为主导,以学生为主体,学生才易养成自主学习的习惯与能力,从而成为学习的主人。

4.开展反思型教学,这样有利于教学的开展、教研技能的提升和教师专业化成长。

本文来自 360文秘网(www.360wenmi.com),转载请保留网址和出处

【EDA实验报告(四位全加器的实现)】相关文章:

四位全加器实验报告04-16

8位全加器实验报告04-23

eda技术实验报告04-13

eda键盘扫描实验04-16

EDA实验六13152120105-06

测量的实验报告04-21

实验报告的格式05-14

氨的作用实验报告06-22

离子的检验实验报告08-19

网线制作的实验报告04-10

上一篇:读应彩云文章有感下一篇:ssm学生管理系统