EDA实验六131521201

2024-05-06

EDA实验六131521201(通用4篇)

篇1:EDA实验六131521201

实验一:

QUARTUS II 软件使用及组合电路设计仿真

实验目的:

学习QUARTUS II 软件的使用,掌握软件工程的建立,VHDL源文件的设计和波形仿真等基本内容。

实验内容:

1.四选一多路选择器的设计 基本功能及原理 :

选择器常用于信号的切换,四选一选择器常用于信号的切换,四选一选择器可以用于4路信号的切换。四选一选择器有四个输入端a,b,c,d,两个信号选择端s(0)和s(1)及一个信号输出端y。当s输入不同的选择信号时,就可以使a,b,c,d中某一个相应的输入信号与输出y端接通。

逻辑符号如下:

程序设计:

软件编译:

在编辑器中输入并保存了以上四选一选择器的VHDL源程序后就可以对它进行编译了,编译的最终目的是为了生成可以进行仿真、定时分析及下载到可编程器件的相关文件。仿真分析:

仿真结果如下图所示

分析:

由仿真图可以得到以下结论:

当s=0(00)时y=a;当s=1(01)时y=b;当 s=2(10)时y=c;当s=3(11)时y=d。符合我们最开始设想的功能设计,这说明源程序正确。2.七段译码器程序设计 基本功能及原理:

七段译码器是用来显示数字的,7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。输出信号的7位分别接到数码管的7个段,本实验中用的数码管为共阳极的,接有低电平的段发亮。数码管的图形如下

七段译码器的逻辑符号:

程序设计:

软件编译:

在编辑器中输入并保存了以上七段译码器的VHDL源程序后就可以对它进行编译了,编译的最终目的是为了生成可以进行仿真、定时分析及下载到可编程器件的相关文件

。仿真分析:

仿真结果如下图所示:

分析: 由仿真的结果可以得到以下结论:

当a=0(0000)时led7=1000000 此时数码管显示0; 当a=1(0001)时led7=1111001 此时数码管显示1; 当a=2(0010)时led7=0100100 此时数码管显示2; 当 a=3(0011)时led7=0110000 此时数码管显示3; 当 a=4(0100)时led7=0011001 此时数码管显示4; 当 a=5(0101)时led7=0010010 此时数码管显示5; 当 a=6(0110)时led7=0000010 此时数码管显示6; 当 a=7(0111)时led7=1111000 此时数码管显示7; 当 a=8(1000)时led7=0000000 此时数码管显示8; 当a=9(1001)时led7=0010000 此时数码管显示9; 当a=10(1010)时led7=0001000 此时数码管显示A; 当a=11(1011)时led7=0000011 此时数码管显示B; 当 a=12(1100)时led7=1000110 此时数码管显示C; 当a=13(1101)时led7=0100001 此时数码管显示D; 当a=14(1110)时led7=0000110 此时数码管显示E; 当a=15(1111)时led7=0001110 此时数码管显示F;

这完全符合我们最开始的功能设计,所以可以说明源VHDL程序是正确的。

实验心得:

通过这次实验,我基本掌握了QUARTUS II软件的使用,也掌握了软件工程的建立,VHDL源文件的设计和波形仿真等基本内容。在实验中,我发现EDA这门课十分有趣,从一个器件的功能设计到程序设计,再到编译成功,最后得到仿真的结果,这其中的每一步都需要认真分析,一遍又一遍的编译,修改。当然,中间出现过错误,但我依然不放弃,一点一点的修改,验证,最终终于出现了正确的仿真结果,虽然有一些毛刺,但是总的来说,不影响整体的结果。

实验二:计数器设计与显示

实验目的:

(1)熟悉利用QUARTUS II中的原理图输入法设计组合电路,掌握层次化的设计方法;

(2)学习计数器设计,多层次设计方法和总线数据输入方式的

仿真,并进行电路板下载演示验证。实验内容:

1.完成计数器设计

基本功能及原理:

本实验要设计一个含有异步清零和计数使能的4位二进制加减可控计数器,即有一个清零端和使能端,当清零端为1时异步清零,即所有输出值都为0,当使能端为0时,计数器停止工作,当使能端为1时,正常工作,由时钟控制。另外,还应该有一个控制端,当控制端为0时,进行减法运算,当控制端为1时,进行加法运算。输出端有输出值和进位端,当进行加法运算时,输出值递增,当减法运算时,输出值递减,同时进位端进行相应的变化。

4位二进制加减计数器的逻辑符号:

程序设计:

软件编译:

在编辑器中输入并保存了以上4位二进制加减计数器的VHDL源程序后就可以对它进行编译了,编译的最终目的是为了生成可以进行仿真、定时分析及下载到可编程器件的相关文件。仿真分析: 仿真结果如下:

分析:

由仿真图可以得到以下结论:

当enable端为0时,所有数值都为0,当enable端为1时,计数器正常工作;当reset端为1时,异步清零,所有输出数值为0,当reset端为0时,正常工作;当updown端为0时,进行减法运算,当updown为1时,进行加法运算;另外,当程序进行减法运算时,出现借位时,co为1,其余为0,当进行加法运算时,出现进位时,co为1,其余为0。图中所有的功能与我们设计的完全一样,所以说明源程序正确。2.50M分频器的设计

基本功能及原理:

50M分频器的作用主要是控制后面的数码管显示的快慢。即一个模为50M的计数器,由时钟控制,分频器所有的端口基本和上述4位二进制加减计数器的端口一样,原理也基本相同。分频器的进位端(co)用来控制加减计数器的时钟,将两个器件连接起来。50M分频器的逻辑符号如下:

程序设计:

软件编译:

在编辑器中输入并保存了以上50M分频器的VHDL源程序后就可以对它进行编译了,编译的最终目的是为了生成可以进行仿真、定时分析及下载到可编程器件的相关文件。仿真分析: 结果如下:

上图为仿真图的一部分,由于整个图太大,所以显示一部分即可,其余部分如图以上图规律一直递增,直到50M为止,然后再重复,如此循环。

上图是部分输出的显示,由于整个图太大,所以只显示部分,其余部分如图递增。

分析:

由仿真图可以看出,当reset为0,enable为1时(因为本实验中计数器的模值太大,为了尽可能多的观察出图形,可让reset一直为0,enable一直为1,即一直正常工作),输出值由0一直递增到50M,构成一个加法计数器,与我们设计的功能一致。3.七段译码器程序设计

基本功能及原理:

七段译码器是用来显示数字的,7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的,为了满足16进制数的译码显示,最方便的方法就是利用VHDL译码程序在FPGA或CPLD中实现。本项实验很容易实现这一目的。输出信号的7位分别接到数码管的7个段,本实验中用的数码管为共阳极的,接有低电平的段发亮。

七段译码器的逻辑符号:

程序设计:

软件编译:

在编辑器中输入并保存了以上七段译码器的VHDL源程序后就可以对它进行编译了,编译的最终目的是为了生成可以进行仿真、定时分析及下载到可编程器件的相关文件。仿真分析:

仿真结果如下图所示:

分析:具体分析与实验一中七段译码器的分析相同,在此不再赘述。计数器和译码器连接电路的顶层文件原理图:

原理图连接好之后就可以进行引脚的锁定,然后将整个程序下载到已经安装好的电路板上,即可进行仿真演示。

实验心得:

经过本次试验,我学到了很多。首先,我加强了对QUARTUS II软件的掌握;其次,我掌握了电路图的顶层文件原理图的连接,学会了如何把自己设计的程序正确的转化为器件,然后正确的连接起来,形成一个整体的功能器件;最后,我学会了如何安装以及如何正确的把完整的程序下载到电路板上,并进行演示验证。

实验三:大作业设计

(循环彩灯控制器)

实验目的:

综合应用数字电路的各种设计方法,完成一个较为复杂的电路设计。实验内容:

流水灯(循环彩灯)的设计 设计任务:

设计一个循环彩灯控制器,该控制器可控制10个发光二极管循环点亮,间隔点亮或者闪烁等花型。要求至少三种以上花型,并用按键控制花型之间的转换,用数码管显示花型的序号。基本原理:

该控制器由两部分组成,一部分是一个50M的分频器,其主要用来控制花色变化的快慢;另一部分是一个彩灯控制器,该彩灯控制器可由两个开关控制花型的序号,10个输出分别控制10个发光二极管的亮暗,当输出为1时,该发光二极管亮,输出为0时,该二极管灭。将分频器的co端用来控制彩灯控制器的时钟,将两个器件连接起来。1.分频器的设计

50M分频器与实验二中的分频器一样,这里不再赘述。2.彩灯控制器的设计 基本原理:

该彩灯控制器由时钟控制,reset异步清零,enable当做使能端,由两个开关do(0-1)来控制选择不同的花型,10个输出端lig(0-9)来控制10个LED灯的亮灭。因为用了两个开关来控制花型,所以一共有4种花色。

彩灯控制器的逻辑符号:

程序设计:

3.七段译码器的设计

七段译码器是用来显示不同花型的序号的,其设计与实验一中的设计一样,这里不再赘述。循环彩灯控制器的原理图:

仿真波形如下: 第一种花型:

第二种花型:

第三种花型:

第四种花型:

仿真分析:

将以上仿真波形图和源程序对比,我们可以看到,仿真出来的波形和我们设计的功能一致,这说明源VHDL程序是正确的。实验心得:

本次试验是在没有老师指导的情况下自己完成的,我在参考了网上的程序的情况下,最终成功的设计并正确的演示出了循环彩灯的不同花型。通过本次试验,我真正的体会到了DEA这门课的乐趣,也发现它对我们的学习和生活带来很大的方便。

篇2:EDA实验六131521201

----移位相加8位硬件乘法器电路计

ou 1

移位相加硬件乘法器设计

一.实验目的

1、学习移位相加8 位硬件乘法器电路设计;

2、学习应用EDA 技术进行项目设计的能力

二.实验原理

该乘法器是由8位加法器构成的以时序方式设计的8位乘法器。其乘法原理是:乘法通过逐项移位相加原理来实现,从被乘数的最低位开始,若

为1,则乘数左移后与上一次的和相加;若为0,左移后以全零相加,直至被乘数的最高位。

实验箱内部结构图

三.实验设备

1.安装QUARTUS II 软件的PC一台;

2.实验箱一个 四.实验步骤

1.输入下列VHDL程序:

2.编译程序,并连接实验箱并下载 3.在实验箱上按下列要求进行设置:

①选择模式1 ②CLKK控制移位相加速度,接clock0=4Hz ③A[7..0]、B[7..0]输入数据 显示于此4个数码管上

④DOUT[15..0]接数码管8/7/6/5,显示16位乘积:PIO31—PIO16 ⑤接键8(PIO49):高电平清0,低电平计算允许

⑥A[7..0]接键2/1,输入8位乘数 PIO7—PIO0(模式1)⑦B[7..0]接键2/1,输入8位被乘数 PIO7—PIO0(模式1)

五.实验结果

实验程序编译运行后RTL电路图

ou 1)2

(模式

实验RTL电路

A[7..0]接键2/1,输入8位乘数:A2(十六进制)B[7..0]接键4/3,输入8位被乘数:33(十六进制)可得结果DOUT[15..0]:2046(十六进制)六:心得体会

通过电子设计的数字部分EDA设计,我们掌握了系统的数字电子设计的方法,也知道了实验调试适配的具体操作方法。

通过实验,进一步加深了对EDA的了解,让我对它有了浓厚的兴趣。但是在调试程序时,遇到了不少问题,编译下载程序时,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。

篇3:EDA实验教学综述

EDA是Electronic Design Automation(电子设计自动化)的缩写。EDA技术,就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计开发工具,通过使用有关的开发软件,自动完成电子系统设计的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术[1,2]。EDA课程是一门理论性、实践性都很强的电子信息类专业的基础课,它强烈冲击了传统的电子设计方法,是现代电子设计的发展趋势。目前,几乎所有高校的电类专业都开设了EDA课程,而且各高校在积极开展EDA教学的同时,纷纷建立起了EDA实验室。EDA实验室应该怎么利用,EDA实验教学应该如何开展才能提高教学效果,是EDA教学人员一直在反复思考和探索的问题。其实验教学方法与教学效果的好坏直接影响到专业课程的教学。

1 EDA技术实验教学的现状

我们通过对编程语言、软件选择、配套硬件、实验内容、课程设置几个方面对目前EDA实验教学的现状进行分析[3,4,5,6]。

1.1 编程语言与软件的选择

大部分高校采用VHDL或VerilogHDL进行课程理论教学,以此为基础让学生对EDA技术有初步的认识和了解。同时,利用VHDL(VerilogHDL)进行软件编程,开设一系列的实验与设计。由于当前世界各地较为流行的EDA工具软件种类繁多,性能各异,其中因Protel、Multisim、MAX+PLUSⅡ和QuartusⅡ特点突出、功能齐全、操作方便,在教学中应用广泛。许多高校就是在以上几种软件中选择一种或几种完成实验教学。

1.2 配套硬件与实验内容

目前市场上EDA实验设备品种多,但大部分实验设备都是以FPGA为主体配合点阵模块、LED流水灯模块、LED数码管显示模块、并带有RS232串口、PS/2鼠标键盘接口等模块组成。利用以上硬件资源,可以完成移位寄存器、编码器、译码器、跑表、电子时钟、交通灯、信号发生器、数字电压测量等各种不同层次的实验与设计。

1.3 实验课程设置

高校对EDA实验课程的设置,一般包括8~16课时的实验,1~2周的课程设计,另外在毕业设计与毕业论文写作的环节,有很大一部分学生选择EDA技术相关内容进行实践动手能力训练,展开思考与研究。对于电子、计算机等相关专业,大部分高校对于EDA技术的实验开设都在有限的人力资源与硬件设施资源的条件下有相当的投入,将其作为理工科实验教学,培养实践动手能力的一个重要环节来布置安排。

2 EDA技术实验教学存在的问题和不足

EDA技术涉及知识面较宽,包括数字逻辑电路,硬件描述语言,微机原理,单片机原理与应用,DSP原理等理论课程;所应用的领域也十分广泛,包括DSP技术,嵌入式系统,传感与控制,PCB设计,电源技术等。因此,让学生对EDA技术有一个全面深入的理解显得十分重要又相对困难[7,8,9]。

技术的不断创新与教学的相对滞后是各工科专业面临的共同问题。特别是在电子技术,计算机技术,智能化技术的新概念,新方法,新理论不断涌现的今天,EDA技术的发展也是日新月异。在此背景下,高校EDA实验教学却一直处在一个停滞落后的状态。时兴的设计软件如:Workview Office,NiosII等,得不到应用,流行的技术不能向学生传授,实验与设计很多停留在计算机仿真阶段,以至于学生认为不能学以致用,走上社会一切又要从头学习。

由于EDA技术的日新月异,曾被普遍认为最优秀的PLD开发平台之一的MAX+PLUSII软件目前已经由QuartusII替代,不再推荐使用。MAX+PLUSII软件适合开发早期的中小规模PLD/FPGA,对于初学者来说易学易用,因此目前教学仍然采用此软件。从MAX+PLUSII软件过渡到QuartusII是必然的趋势,这需要硬件的支持,电脑内存至少要上512M。大多数实验机房电脑达不到这个配置。另外,QuartusII比MAX+PLUSII内容更多,如何教学也是一大难题。EDA实验箱把FPGA芯片焊接在电路板上,配备了下载口和电源,如何教学生掌握关于CPLD/FPGA器件的下载知识和与外部联系也很困难,无法让学生动手,导致学生只能专注于VHDL硬件描述语言上。目前采用兴趣小组的方式来让部分学生进一步学习,由于单片FPGA的芯片成本比单片机成本要高许多,这也增加了动手做PCB板的难度。

3 EDA技术实验教学的新思路

EDA技术课程实验的目的是培养电气信息类专业学生实际动手能力,在实验中逐渐提高他们分析问题和解决问题的能力,为以后从事EDA技术打下必要的基础。如何在有限的时间内掌握这门课程中的基本知识,如何能紧跟上日新月异EDA技术的发展变化,如何利用现有知识进行设计与创新,这是进行EDA实验教学规划与调整需要考虑的问题[12,13,14,15]。

基于EDA技术的实验教学内容更注重培养学生的能力、个性及创新意识,突出多层次、个性化的培养模式。其中,在数字电子技术实验教学中,对于传统实验内容,在实验项目和内容上,减少验证性实验增加综合性、设计性实验;在实验项目的安排上,增设了EDA实验课程,采用选做与必做相结合,学生可根据自己的能力、知识水平和兴趣选做实验。

3.1 合理安排实验内容,培养学生创新能力

依托EDA综合实验平台进行开放式实验的改革,以“加强基础、开拓思维、培养能力”为改革指导思想,建立了一套“基本实验技能培养+综合设计能力培养和创新设计与研究能力培养”三个培养层次的开放式实验教学体系。在“数字电子技术实验中利用EDA综合实验平台,采取了这一教学体系,收到较好的教学效果。

3.1.1 基本实验

基本实验包含实验课程教学大纲规定的必修和选修的实验内容。其中必修内容包括:集成触发器的测试和应用、MSI时序功能件的应用、1位全加器原理图输入设计和VHDL设计、7段数码显示译码器设计、含异步清零和同步时钟使能的4位加法记数器的设计、4位十进制频率计设计等。选修的实验内容:随机存取存储器的应用、随机存取存储器的应用、数控分频器的设计等。该层次的主要目的是为培养学生的基本实验技能和理论联系实际、分析问题和解决问题的能力,培养学生的创新意识和创新能力。

3.1.2 提高型实验

提高型实验的实验课题不局限于课程内容,比如彩灯控制器的设计、电子抢答器的设计、汽车尾灯控制器的设计、出租车计费系统的设计等,课题可由学生自己选择或由指导老师指定。由学生自行完成设计、制作、调试,测试实验结果、完成实验报告。此外,还通过开放实验的形式,在没有实验课的时候出一些大的设计项目供学生去动手做,增加学生的动手机会、自由解决问题的机会以及查阅文献资料的能力,切实加强综合设计能力的培养。

3.1.3 创新型实验

创新型实验可以通过实验选修课、学生课外科技活动、吸纳优秀学生参加教师的科研工作等方式进行。这类课题主要有:电子密码锁、步行街道自助式交通灯控制器的设计等。对于此层次的实验,教师着重帮助学生了解科学研究的基本方法,培养学生的创新思维和创新能力。

3.2 构建开放式实验教学平台,倡导学生自主学习

构建开放实验教学平台,加强实验室的综合型、数字化和网络资源建设,为学生提供良好的实践教学环境和开放式自主实践教学服务,倡导学生自主性的实践学习和实践研究,真正体现层次化、个性化的培养模式。同时,建立实验教学质量的监控和评估机制,强化开放实验教学的组织与管理,确保开放实验教学质量。在实验室管理上,采用全天候向学生开放的新模式,学生可以充分利用课余时间,根据自己的课题进行EDA设计仿真实验,不仅充分利用了实验室设备资源,同时培养了学生独立动手能力、分析问题解决问题能力和创新能力。

3.3 采用多种形式的实验教学方式

在传统实验教学的基础上,开展多种形式的实验教学方式,提高学生的实验兴趣和动手能力。比如把双语教学引入到EDA实验课程中,EDA实验课程作为一门前沿专业课程,双语教学是一种获取知识的手段,他的目的是更好的学习专业课程。基于网络的EDA实验教学作为现代教学的重要组成部分,展示出传统实验教学无可比拟的优势。它扩大了教育规模,提高了教育速度,促进了教育改革,为创新教育构造了环境。

3.4 开展形式多样的课外科技活动

开展分层次、多样化课外科技活动,精心设计课外创新活动内容,针对不同层次的同学设计不同的内容和不同的形式,加强EDA实验教学与学生科技实践活动的紧密结合。重点扩大学生对各类活动的参与面,让更多的同学真正参与到课外科技创新活动中,并从中受益。

3.5 强化优秀学生培养力度

强化优秀学生的培养力度,在科研活动中提高学生的创新水平。为提高优秀学生科研能力,积极培养“拔尖”人才,中心在开展通识教学的同时,注重“拔尖”学生的“个性化”教育,努力为他们提供创新训练的条件与环境。

3.6 让SOC与SOPC的概念融入学生所学理论

SOC的概念的定义很多,内容也十分广泛,很难给出一个确切的定义。简单说来SOC就是在一块芯片上集成一个完整的系统,同时它又代表着一项技术,用以实现从确定的系统功能开始到软/硬件划分,将微处理器、模拟核、数字核和存储器集成在单一芯片上以完成整个系统设计。近年来,随着半导体技术的飞速发展,FPGA的性能与性价比完全可以与A-SIC媲美,在这样的背景条件下,一种被称为片上可编程系统(SOPC)的新技术出现了。SOPC技术即在FPGA中放入一个处理器,一般通过两种方法实现:一种是在FPGA中植入一个嵌入式的硬核处理器,一种是使用FPGA制造厂商提供的软核处理器,比如Altera的NIOS II软核处理器和Xilinx的MicroBlaze软核处理器等。在目前国内高校的EDA实验教学中,对SOC技术及其新发展的SOPC技术关注较少。然而SOC技术与SOPC技术将是今后EDA技术发展的一个主要方向,更是改良与替代ASIC技术的主要方案。因此,在高校教学中推广这一技术,让学生很好了解接触这些技术是十分重要的。而目前国内,清华大学科教仪器TPG-EDA/SOPC教学实验平台则为广大师生提供了一个非常灵活方便的实验环境。它提供了完整的系统设计的外围设备,包括音视频处理的多媒体设备,多样的可扩展的存储设备,USB芯片,不同核心板上采用不同的软核或硬核。在使用该实验平台完成一个SOPC系统设计过程中学生需要数字电子技术、计算机组成结构与原理、C语言编程等相关背景知识,在此期间,学生既巩固了相关理论知识,又掌握了现代电子系统的设计方法符合现代电子技术的发展趋势和应用实际,有利于提高学生的综合素质和培养学生的创新精神。由此可见,将SOPC这样的新概念,新技术引入实验课堂无论从教学发展的必要性,还是从学生知识体系整合的必要性,以及为学生走上社会奠定工作的技能基础都是十分有意义的。

4 结束语

随着电子技术的飞速发展,EDA技术已经成为电子电路设计和系统设计的重要手段,如何让学生尽快尽好地在学校掌握基本知识和相应的动手能力,为将来从事这方面的工作,甚至是进行专用集成电路ASIC的设计和实现,是摆在面前的一大难题。总的来说,从培养和激发学生的兴趣、编写合适的教材、采用多媒体的教学手段、注重EDA实验教学、改进现有条件、增加学生的动手能力、强调创新等方面入手,对提高教学质量无疑会有极大帮助,也有益于提高学生的综合应用电子技术开发电子产品与电子系统的基本能力。EDA技术作为新兴技术的发展方兴未艾,随着此项技术的不断发展,高校EDA实验教学也不能墨守陈规,死板僵硬,而必须不断改进,不断创新,改进教学的方式与方法,创新实验的平台与内容。唯有如此,才能不断提高电子工程及相关专业的教学质量。

摘要:论述了EDA技术的基本概念,分析了高校EDA实验教学的现状、存在的问题和不足。最后对EDA实验教学的新思路进行了详细的论述。

篇4:EDA技术实验教学改革探讨

关键词:EDA;SignalTap II;生产实例;创新实验

TN02-4

《电子设计自动化(EDA)技术》是电子科学与技术、电子信息工程、信息对抗技术、自动化、光信息科学与技术、信息显示与光电技术、生物医学工程、微电子学、集成电路设计与集成系统等电类专业基础主干课程。该课程开设的目的是使学生掌握采用硬件描述语言、借助EDA工具进行电子电路设计的方法。该课的实践性极强,实验环节在整个教学中占的比重很大,对培养学生动手能力和电路设计知识的综合运用能力能起到较强的作用,学生普遍反映该课的开设对就业有较大帮助,被誉为“饭碗”课程。

一、与多门课程相结合

目前《EDA技术实验》课程的实验项目往往只是专门针对于《EDA技术》课程,很少与其他课程联系在一起的项目。EDA技术的应用领域是非常广泛的,在电子、通信、医疗、军事、机械以及生物等领域都有应用。如果实验项目中没有与这些领域相关课程联系在一起的项目,会导致学生学了这门技术却不知道如何应用。因此,将《EDA技术实验》课程与多门课程相结合,有利于学生将EDA技术应用于各个领域。可以与以下课程相结合:

1.与《单片机技术》课程结合,如利用FPGA和单片机实现DDS信号发生器;

2.与《通信原理》课程结合,如2FSK(二进制频移键控)的FPGA实现、帧同步的实现等通信有关的简单算法实验;

3.与《数字信号处理》课程结合,如利用FPGA实现FFT(快速傅里叶变换);

4.与《嵌入式系统》课程结合,设计FPGA与ARM芯片协同工作的项目,以达到速度、面积等各方面的性能需求。

二、针对不同的专业,增加与专业相关的特色实验项目

《EDA技术实验》课程是强电类专业(如自动化专业、电气工程专业)和弱电类专业(如电子信息工程、通信工程专业)学生必修的一门实验课,但是目前该课程不同专业的实验项目却完全一样,没有针对不同的专业而开设一些特色的实验项目。有的学校这门课的实验项目没有一个是针对强电类的实验项目,导致强电类的学生认为这门课对他们不重要,无法将这门技术应用于自己的专业,不利于应用型人才的培养。因此,可以增加与专业相关的特色实验项目,比如对于通信工程专业,可以增加利用VHDL实现QPSK(四相相移键控)、伪随机序列以及直接序列通信系统的设计,对于电子信息工程专业,可以增加信号发生器、电子元件测量仪以及多路数据采集系统等的设计,对于电气工程及其自动化专业,可以增加利用FPGA控制步进电机等内容。

三、引入Nios、Multisim设计内容,利用SignalTap II逻辑分析仪

目前《EDA技术实验》课程的实验项目大多都是利用Quartus II软件的基本功能实现,手段太单一,学生只掌握了Quartus II软件的基本操作。事实上,Quartus II软件的功能十分强大,可以与Nios、Multisim结合,完成目前最热门的技术—SOPC嵌入式系统的设计,还可以在没有逻辑分析仪的情况下,利用SignalTap II完成电路逻辑分析。而手段单一的实验项目,导致学生无法掌握Quartus II软件的这些非常有用的功能。因此,可以增加基于SOPC的Nios实验,并利用Multisim对电路进行仿真,利用SignalTap II对硬件进行逻辑分析,以达到设计要求。基于Nios的嵌入式系统设计近年来是EDA技术的热门方向之一,有必要让学生了解基于Nios的FPGA系统设计,Multisim有着强大的电路仿真功能,是电路设计不可或缺的利器,而SignalTap II是在没有逻辑分析仪的实验环境下最好的硬件逻辑分析工具。

四、 引入生产实际应用实例

《EDA技术实验》课程实验教学内容没有或较少向生产应用延伸,当学生走出校门,面对社会实践,无从下手,缺乏把所学知识应用于社会实践的能力,不能很快地为生产实际服务。因此,可以增加生产实际应用项目和相关研究新进展,培养 “专业技术精、实践能力强”的应用型人才。如引入基于FPGA的出租车计费器、交通灯控制系统、洗衣机控制器、波形发生器、频率测量仪等等的设计项目。

五、增加开设综合创新性实验

由于目前部分EDA技术实验指导书的内容过于详尽,学生只要按照指导书步骤就能得到实验结果,使的实验演变成输入程序连接导线的“机械性实验”,难于调动学生对实验的积极性,不利于活跃学生思维,使的学生缺乏独立分析问题、解决问题的能力,难于提高实践动手能力。因此这样的实验很难发挥学生学习的主动性和能动性,阻碍了学生创新思维的发挥和实践能力的提高。因此,可以增加开设综合创新性实验,如数字电子钟、电子万年历、智能密码锁、数字化语音存储与回放系统、以及数字幅频均衡功率放大器等等。根据EDA技术主要面向工程实际的特点和电子设计的自主创新性,在实验过程中注重学生自主设计能力和创新能力的培养,以及与工程实际相结合的动手能力的培养。

六、结语

通过对EDA技术实验课程的教学改革与实践,改善目前EDA技术实验课程中存在的一些问题,从而提高EDA技术实验课程的教学效果,提高学生的实践动手能力,促进学生所学知识与生产实际紧密结合,使学生成为名符其实的应用型人才。

参考文献:

[1]潘松,黄继业.EDA技术实用教程[M].3版.北京:科学出版社,2006.

[2]张波.“EDA技术”课程的创新性实验教学[J].实验室科学,2013,16(4):71-73.

[3]譚菊.项目驱动模式下EDA技术课程改革探索[J].教育教学论坛,2014(9):186.

[4]吕晓兰, 左敬龙. 应用型本科院校EDA课程实验教学改革探索 [J].中国电力教育,2013,34:147-149.

[5]金宁治,李文娟,高晗璎,周美兰. 电气专业“EDA技术”课程实验教学的改革[J].电气电子学报,2015,37(4):86-88.

作者简介:

上一篇:守护甜心初二作文500字下一篇:【2017】61国有建设用地使用权拍卖出让须知