数字电子技术课程设计心得

2024-05-09

数字电子技术课程设计心得(通用8篇)

篇1:数字电子技术课程设计心得

经过差不多一个星期的奋战,我的抢答器终于完成了。在给老师检查的那一刻,突然有一种如释重负的感觉,从星期二道星期五,除上课以外的所有时间我都花在这里了,甚至连吃饭的时间都省掉,就为了这块小小的电路板。幸好,结果没有让我太失望。虽然对于其他的同学来说完成的比较晚,但是我却很欣慰,因为所有的东西都是自己完成的,电路板上的每一根线,每一个芯片,都是我自己安上去的,最重要的是,制作过程中所有的错误也都是自己找出来并更正的。其实在焊板之前,我并没有下这么大的决心。心里总是有一种要别人帮助的期望,但是真正在焊板的时候才猛然发觉,原来我们班级选择抢答器的就只有四位同学,更糟糕的是做在我们前面的自动化专业没有抢答器这个选项。当时一下子就愣了,不知道在交板的时候我会是怎样一种情况:是没有实验现象;没做完;或是更糟糕的结果。我不敢想象。现在,我已经走出了那个实验室,仔细想一想,从领板到交板,这一过程,让我受益匪浅:

1. 器材领到了,心里总想着要快点开始。所以,拿着东西就立刻行动。因为之前根对所有本就对所有东西一无所知,只看到其他同学都拿着东西往板上装,我也就跟着做。可是,等我所有的引脚都装上去了才被同学告知,板上的孔是每三个用一个铜片相连的,也就是说,他们三个孔是相同的,在安装的时候必须把他们隔开,可是,我却完全没有注意到这个问题,那一刻,真的感到一种很强烈的挫败感。以为,这个实验就这么被我弄砸了。不幸中的万幸是,一般的引脚之间的距离都在三个孔以上,所以那些

不可能安错,只有一个装555芯片的引脚比较小。最后,在同学的帮助下,成功的帮我卸下了那个芯片引脚,我才能重新开始。

2. 装完引脚之后,我开始布线。很快,我又遇到了一个严重的问题。就是因为在实验之前完全没有考虑过布线的问题,芯片的引脚都是凭自己的感觉胡乱装上去的,根本没有足够的空间布出一副整齐的线路。但是因为所有的引脚都已经安装上去了,已经没有修改的余地,我也只能死马当活马医,尽最大努力去布出每一根线。等布线完成之后一看,线路歪歪斜斜,没有规律,更谈不上美观。再看一下其他某些同学的线路。有的简直可以用艺术二字来形容。但是,没有办法,我只能接着往下做。

3. 等布线完成后,就开始测试了。我们这个抢答器的实验有等两部分:主电路和扩展电路。而且二者之间是分开的。我上面做的就只是主电路部分。可是等我接通电源一看,没有一点反应,那几根数码管根本就没有亮起来的念头。我只有很无奈的开始进行检查,没有发现问题。一直到傍晚,我都停留在这个部分,没有一点进展,而周围有的做其他实验的同学已经完成的差不多了,甚至已经有了实验结果。而我,一直等到星期四下午才把主电路部分完成。在主电路的检查过称中,大致发现了一下几个我的问题:

(一)每个芯片都有一个电源脚和一个地脚。而我在一开始的时

候竟然忘记了,这也就是一开始那个显示器没有任何显示的原因。这也是我做这个实验犯过的最严重的一个错误。

(二)开关问题。我们使用的开关跟仿真图上的开关不一样,仿

真图上有多种开关可以选用。但是提供给我们做实物图的开关就只有一种。(如下图示)

在未接通时,1脚和3脚是接通的,2脚和4脚是接通的。但是在按下开关后,四个脚全部是接通的。在一开始,我把1脚和芯片对应的引脚相连,4脚和地相连,但是把三脚直接接5伏电源,而没有接电阻(因为仿真图上没有电阻)。后面才发现,当把开关按下后,地和电源是直接接通的。所以在未接电阻时,也没有正确的结果。

(三)电阻问题。知道做完实验后才发现,电阻是实验过程中非

常重要的一部分。这在我后面帮同学检查线路的时候也发现了。那份线路没有其他任何问题,就是把74LS279上面引出来的引脚与电源之间的那个电阻用的是5K,但是就是没有结果,当我把那个电阻换成510欧姆的电阻之后,所有实验现象就全出来了。而我自己开始的时候也是把那个电阻忘记了,也是一直没有看到正确的显示。

(四)芯片引脚。我们这个电路用到了74LS279。而我一开始就

按照仿真图上的做法,直接用了两个279芯片。后面才知道其

实只要一个芯片就可以。这当然也是归结于自己开始的准备工作没有做好。因为没有认真仔细的看清楚每个芯片的使用情况。还有译码显示管的引脚,等我连好线路图的时候才发现我在网上找的那个引脚图是错误的。

(五)连线。连线实际上也是制作电路板一个非常重要的方面。

连接过程中要时刻注意有没有短路,有没有断路。在进行了很多次的修改之后,我的板上还是不能正确显示所有的结果。结果还是0,0,2,2,4,4,6,7。根本没有1,3,5这几个数字。经过几轮仔细的检查后,才发现我的其中一个279芯片的引脚虽然看上去像连接在一起了,但是实际上他们之间并没有通电。等更正过来后,终于0到7八个数字全部能过正确显示。虽然这个过程非常漫长,但是,我却有点享受其中的感觉。在老师检查过后,老师又提出了另外一个问题:把0到7这几个数字改成1到

8。心里想想也是,抢答器当然是希望数字一对一。而像我这种做法就是一号选手编号为0,二号选手编号为1„„,八号选手编号为7。这样当然会不方便。

做完主电路后,就开始做扩展电路。有了前面做主电路的经验,所以在做扩展电路的时候就少走了很多弯路。但还是经过了一番曲折之后才看到实验结果。

(一)首先也是开关问题。这次虽然接了电阻,但是我却把接

74LS192芯片的引脚4和引脚五分别接电阻改成了两端直接相连接一个电阻。其实,这在仿真图上是可以的。但是就是

因为开关的不同导致接线的不同。后面我才发现,几乎我们四个做抢答器实验的同学都犯了这个错误。

(二)在做扩展电那个路图时,我还发现了一个非常奇怪的问

题。因为我的仿真图上74LS48与显示管之间是接了排阻的,但是在连接实物图时,为了节省位置,所以想把排阻去掉,但是在仿真图上操作时,却发现不能去掉排阻。可是事实上其他实验中都用到了这两个芯片,而且都没有接排阻。后来,问了同学才知道,原来在仿真图上去掉排阻就必须把显示管上的接地端改成接GND端。这也让我意识到,虽然,Multism容易掌握,但是实际上他有很多东西是不能随便使用的。扩展电路比主电路完成要顺利很多,所谓“实践出真知”也就是这个道理吧。虽然,现在的我们因为面临考试的原因时间变得非常紧张,而且这周也基本上完全没有进行考试准备,反而觉得非常充实。也让我有了很多体会:

1、团队合作比较重要。开始的时候我一个人做,出现问题时候,自己找了好久才发现问题的所在。但是有几次问了下同学或是和同学一起探讨,问题很快就解决了。这也就是人多力量大吧,集思广益,能把问题解决得更好。

2、焊接是一个锻炼人动手能力的过程。要使自己的布线美观而且焊接时有没有虚焊,这要一个人要有耐心和细心。

3.做任何事情之前一定要认真做好准备。这样才能未雨绸缪,做到

临危不乱。如果所有的事情都等到发生了之后再去想办法就已经来不及了。

3、这次课程设计给了我们一个很好的提高动手能力的机会。平常我们只是在头脑中去抽象的记忆、理解那些课本上的理论知识。有的理论知识好像很懂,但是有的理论知识确是晦涩难懂的,甚至只是靠自己的死记硬背去记住。但是我们都知道,那样的记忆只是一时的,很快你就会忘记。而这次的实习却给了我们一个在实践中灵活运用知识的机会,我们通过在实践中发现问题,进而去书本中找相关的知识去解决问题,从而巩固了理论知识。那样的知识是你从根本上去认识它,理解它,所以你的记忆时间会很长。就像这次我对用过的这些芯片的引脚功能已经很熟悉一样。我要感谢老师的细心教导和同学们的大力帮助,我相信这几天的不懈努力会给我未来的学习带来很多的启发,我会在以后的工作生活中更好的理论联系实际, 扎扎实实走好每一步。

篇2:数字电子技术课程设计心得

分享

创新

数字钟课程设计心得范文

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二、设计要求

(1)设计指标

①时间以12小时为一个周期;

②显示时、分、秒;

③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;

④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

⑤为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

(2)设计要求

①画出电路原理图(或仿真电路图);

②元器件及参数选择;

③电路仿真与调试;

④pcb文件生成与打印输出。

(3)制作要求自行装配和调试,并能发现问题和解决问题。

(4)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。

三、原理框图

1.数字钟的构成

免费

分享

创新

数字钟实际上是一个对标准频率(1hz)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1hz时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

(a)数字钟组成框图

2.晶体振荡器电路

晶体振荡器电路给数字钟提供一个频率稳定准确的32768hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用ttl门电路构成;另一类是通过cmos非门构成的电路,本次设计采用了后一种。如图(b)所示,由cmos非门u1与晶体、电容和电阻构成晶体振荡器电路,u2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻r1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容c1、c2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

篇3:数字电子技术课程设计心得

情境教学模式是基于工作的学习环境, 模仿从业者真实活动, 或借助信息技术设计的逼真、仿真环境和虚拟实境来提高学习的有效性, 并保证知识向真实情境迁移的一种教学方法。以职业竞争力 (设计与建构能力) 培养为导向, 提出基于工作过程的职业教育课程设计理念———情境教育模式, 目的在于提高教学质量。基于工作过程的课程设计方法应按照现代职业教育指导思想, 赋予职业能力全新的内涵意义, 打破传统学科系统化的束缚, 将学习过程、工作过程与学生的能力和个性发展联系起来, 在培养目标中强调创造能力的培养, 而不仅仅是被动地适应能力的训练。该方法体现了创造能力在职业能力构成要素中的重要作用, 适用于创新型国家和市场经济对职业人才的要求, 成为本世纪初最先进的职业教育思想和教学设计方法。

基于工作过程的课程开发方法强调工作过程的整体性。工作过程系统化课程的核心理念是:学习的内容是工作, 通过工作实现学习。工作过程的核心包括工作者、工作对象、劳动工具、劳动方法和工作产品, 这些因素相互作用, 并且按照工作要求在特定的工作环境中完成工作任务。由于现代企业和社会已经进入以过程为导向的综合化运作时代, 在工作中所需要的知识技能, 要解决的实际问题, 都是综合性的, 因此, 教学必须与整体化的实际工作过程相联系。

基于工作过程的课程设计方法以职业工作作为一个整体化的行为过程进行分析, 而不是具体分析“点状”的、缺乏有机联系的知识和技能。它是将职业特点、工作过程、企业生产过程、个人发展目标与教学设计结合起来进行整体化的分析, 找出具有职业特征的典型工作任务, 构建“工作过程完整”而不是“学科完整”的职业教育学习领域课程。

二、基于学习情境教学模式的数字电子技术课程设计

学习情境要以真实的工作环境和真实的工作任务为载体。根据教学大纲把数字电子技术分成以下9个学习情境:

学习情境1:信号灯的逻辑控制的验证。

学习情境的设计往往要围绕着教学大纲的要求, 根据对象由浅入深、由易到难。数字电子技术和模拟电子技术的课程性质不能说完全不同, 但从学生接受情况来看, 不管是本科的学生, 还是高职的学生, 不同的个体是不一样的。刚踏进数字电子技术的学生, 必须弄清楚数字电路的一些基本逻辑关系。从学习情境“信号灯的逻辑控制的验证”着手, 学生完全可以从情境中理解逻辑事件、逻辑控制概念, 领会生活中的逻辑关系和数字电路中的逻辑表达方法和意义, 很自然地过渡到数字电路这门课的“氛围”中, 踏入数字电子技术这个门槛。至于后面要学习的逻辑函数的化简, 类似高中数理题, 教师稍加引导学生即可学会。而按照以前以“漫灌”的教法, 教师教得无奈, 学生又听不懂, 教与学就断了层, 究其原因, 主要是学生还没有适应数字电路这门课的“氛围”, 教师以为很简单, 但学生却很难接受。

学习情境2:简单抢答器的电路设计。

本情境的主要目的是为了让学生了解集成逻辑门电路的结构特点。因为学生初次接触集成芯片和实物, 所以老师在这一环节要花一点的时间, 给学生有认识新事物的过程。学生应仔细体会由基本门电路构成复杂逻辑电路的基本方法;学会集成门电路的使用与测试方法, 进一步建立组合逻辑电路的基本概念。本情境主要涉及到逻辑门电路和门电路综合应用, 特别是一般门应用电路的设计。虽然在以往课堂上教师对设计步骤的分析和实训的真实步骤相类似, 且教师在课堂上也一直在强调设计步骤的重要性, 但学生还是不能学好这门课。而在简单抢答器的电路设计中, 学生就很容易掌握到这些要领。值得一提的是:通过软件仿真, 很容易得到所要的结果, 但通过数字实验箱的实验, 如果是用引线连接起来的电路, 会出现许许多多的故障, 这就给了学生学习排除故障技能的机会。而要排除故障, 并不是简单地按一下和摸一下, 虽然按一下和摸一下有时也会有效果, 但那是盲目的, 其成功率并不高。如果清楚芯片结构、理解电路的原理、熟悉信号的通路, 那排除故障就能够得心应手, 教师要点出掌握原理的重要性, 学生就很愿意采纳和接受了, 同时这也能培养学生对类似技能学习的兴趣, 解除高职电子通信类学生对设备维修的恐惧感。

学习情境3:用触发器做成抢答器电路设计。

本情境的主要目的是让学生初步了解触发器的作用;了解触发器具有接收、保持、输出功能电路的基本分析与测试方法。本学习情境主要涉及数字电子技术时序逻辑组合电路, 情境的设计必须考虑到大纲主要内容, 而没有涉及到的内容, 可以通过其它方式, 传授给学生, 以达到知识的系统性和完整性。

例如, 本章的学习情境只是基本RS触发器的应用, 而JK触发器、555定时器都没有涉及到, 其实, 以上触发器都是基本RS触发器的延伸, 搞清楚基本RS触发器后, 其它的也就好办了。

学习情境4:编/译码及数码显示的设计。

本情境的主要目的是让学生了解编码器、译码器的作用和数码管LED的用法;熟悉74LS147、74LS48/74LS47和数码管各管脚功能;进一步掌握数字电路逻辑关系的检测方法。学习情境设置有并列关系、递进关系和包含关系 (图1) 。编/译码及数码显示的设计学习情境和前面的学习情境1、2、3有递进关系, 而且还有包含关系。其实不管是编码器或译码器, 都是在前面基础上的延伸和递进, 从芯片的复杂度, 还是知识结构来说都符合这种关系, 数码管是另外补充的。

学习情境5:计数显示器的实训。

本情境的主要目的是让学生了解计数器的逻辑功能;熟悉74LS161各管脚功能;学会使用计数器;再次熟悉译码显示电路的使用。计数器的这一情境有两个问题需要解决:一是不同芯片功能和外部引脚的处理;二是不同模的计数器设计方法。上面两个问题的有效解决方法是先仿真。利用仿真可以把在课堂很难说得清的问题, 剖析得淋漓精致, 然后去实验室亲手接触实物, 效果更好。

学习情境6:寄存器74LS194功能验证。

本情境的主要目的是为了让学生了解寄存器的基本功能;学会使用74LS194寄存器。本情境和学习情境5有点类似, 但原理不同, 如同样可以以计数器为例, 一般计数器有顺序或逆序的, 而移位寄存器是无序的, 根据反馈方式不同有不同组合序列。在这个学习情境中实训环节, 虽然方法比较简单, 却能清楚地说明问题, 学生的兴趣也很高, 而且有很多的模式供学生去思考和设计, 提高学生的设计能力。

学习情境7:存储器EPROM的。

本情境的主要目的是让学生掌握存储芯片中数据的存储过程, 即写入和读出过程;理解由74LS161的作用引入地址的产生和地址与存储单元的关系;了解讨论如何将单元内容取出, 引入地址线与数据线的关系;学会计算地址与单元个数, 即容量的关系, 根据地址线的数量来确定存储器的容量;学会把数据写入各单元中, 引入多功能编程器的使用方法, 完成编程与调试, 自然而然过渡到以后的单片机的学习。本情境以“霓虹灯“的控制过程中如何实现控制模式的变换为例, 引入存储器的应用, 不但把存储器的一些基本功能解剖的非常清楚, 而且存储器控制的“霓虹灯”的样式复杂而灵活, 为学生提供了自由发挥的机会。本节内容, 以往学生学得很吃力, 而利用学习情境进行教学, 学生很容易接受。

学习情境8:A/D、D/A转换的实训。

本情境的主要目的让学生熟悉DAC0832芯片各使能端 (控制端) 的作用和使用。数字化的今天, A/D、D/A转换是非常重要的知识点, 要结合数字电子技术的相关知识, 利用仿真和实操的方法, 这部分知识在以前课堂上很难教与学, 而采取情境教学就可以直观地传授给学生。

学习情境9:数字钟的设计。

数字钟的设计的学习情境是综合性的实训, 需要较多的课时, 一般安排一周28学时, 通过数字钟的设计, 不但可以把前面所学知识进一步巩固, 而且可以利用数字电子技术的综合知识, 设计生活中实实在在的实物, 学生会感到很有意义, 兴趣也很高。这样的综合实训, 从最简单的门电路, 到信号发生器、计数器、显示器等, 把整个课程形成一个有机的整体, 达到知识结构的系统化, 而从设计、仿真、元件的选择、实物的制作与调试, 最后产品说明书的撰写和实训的总结, 整个过程和企业产品研发到产品出厂的程序相一致, 也就是当今所谓的学习领域教学模式——以一个职业的典型工作任务为基础的专业教学。

三、总结

教师利用学习情境进行教学, 可以激发学生的学习兴趣。苏霍姆林斯基说过:“所有智力方面的工作都要依赖于兴趣”。爱因斯坦也曾说:“兴趣是最好的老师”。高职学生普遍很难接受高深的理论知识, 而基于工作过程的的学习与实践, 往往可以避开其短处。实践证明, 学生在工作过程中遇到问题, 会主动去查书、去问老师, 学习从被动变为主动, 这是非常难得的, 是我们追求的目标, 它使教与学的过程发生了质的变化。

当今竞争激烈的就业形势下, 高职学生除了要具备扎实的专业基础知识和熟练的操作技能外, 还要有团队合作精神。设立学习情境教学, 可以组织学生进行合作学习。合作学习的目的是切磋学问, 砥砺思想, 共同完成学习任务。三个臭皮匠赛过诸葛亮, 学习情境教学可以把一个教师变成全班都是“教师”, 当学生碰到问题后, 教师要给学生作出具体分析, 帮助学生解决问题。

总之, 孔子有句名言:“给我讲, 我会忘记;给我看, 我会记住;让我做, 我会理解。”这是人类认知的规律, “学习情境”的教学模式正是遵循了这种认知规律。

参考文献

[1]闫宁.关于高职教育体验式情境课程的教学研究[J].职业教育研究, 2008 (5) .

[2]高林, 鲍洁.高等职业教育专业课程体系改革与创新[M].北京:人民邮电出版社, 2009.

[3]姜大源.教育层次提升与教育内容扩展[J].北京联合大学学报, 2004, 18 (1) :l5.

[4]刘守义.数字电子技术[M].西安:西安电子科技大学出版社, 2004 (1) .

篇4:数字电子技术课程设计心得

【关键词】数字电子技术 教学设计 探讨

0引言

教学设计也称教学系统设计。它是运用系统方法分析教学问题和确定教学目标,建立解决教学问题的策略方案、试行解决方案、评价试行结果和对方案进行修改的过程。教学系统是由教师、学生、教学条件三个基本要素构成的,因此教学设计是一个系统化的过程,包括如何定位教学目标、如何进行任务分析、如何制定教学策略和正确选择教学媒体、如何编制教学评价标准等。具体来讲它主要包括教学内容、教学方法、教学策略、教学模式、教学媒体、教学评价等内容。传统的教学设计通常注重于教学过程的设计,具体体现为以教师为中心的教育模式,知识的传输方式是“教师→学生”的单向传递方式,学生是被动的知识接受者,称为“以教学过程为中心的教学系统设计。”而现代教学设计吸收了先进的教育教学理念,教学过程围绕各个实际问题展开,这些问题可以由教师提出,也可以由学生提出,学生主动参与教学过程的各个环节,体现为既发挥教师主导作用又充分体现学生认知主体作用的“主导—主体”教育模式,既注意教又注重学,称为“以教学问题为中心的教学系统设计”。如何把现代教学设计的思想应用到《数字电子技术》课程教学中,笔者做了初步的探索和尝试。旨在抛砖引玉,相互启发。

1.从课程的教学目标出发,选择教学内容,把握理论上的度。

《数字电子技术》是应用电子技术、信息工程、电子设备运行与管理等电子类专业的主干技术基础课程,其教学目标是通过本课程的学习使学生掌握数字电子技术的基础知识、基本理论、基本分析和设计方法,训练学生数字应用电路制作与调试的基本技能;培养学生严谨的科学态度、科学思维方式以及创新意识和创新能力。为学习后续课程提供必要的理论基础知识和实践技能,为今后可能从事的职业打好基础。因此,基于本课程的教学目标和中职教育的培养目标,我们在教学内容的选择上突出了基本理论,基本分析方法和知识的应用,回避了繁锁的集成电路内部分析和数学推导。着重外部逻辑功能的描述、分析和应用。强调外特性和主要参数。如在逻辑门电路一章中,改变了以74标准系列门作为典型电路分析逻辑功能和电气特性的传统,改用实际工作中运用的较多的CT74S系列门作为典型电路进行分析,进而介绍了CT74LS系列,还对TTL集成门电路各系列的主要电气参数进行了比较,使学生对各系列TTL集成门电路的特性都有一定了解。同时,还将TTL系列和CMOS4000系列门电路的主要电气参数进行了对比,使学生能根据实际工作要求正确选用数字集成电路。在时序逻辑电路一章中,在介绍计数器、寄存器和移位寄存器基本电路工作原理的基础上,直接介绍中规模集成计数器、移位寄存器功能表和使用。而没有讨论它们的内部逻辑电路。对于组合逻辑电路等中规模集成电路也采用了类似的处理方法。这不断突出了中规模集成电路的应用,同时也为增加技能训练时间创造了有利条件。

2.从培养能力出发,将理论教学与实践教学融为一体

由于《数字电子技术》是一门应用性很强的技术基础课,其基本理论与实践技能是许多后续课程的基础,理论与实践的密切结合,在本门课程中显得尤为重要。因此,我们在各章都设置了相应的实践训练环节——技能训练。它包括基本性技能训练和设计性技能训练两部分。“基本性技能训练”所涉及的内容与课堂教学内容紧密相关,充分体现课程的实践性。“设计性技能训练”是根据给出的实际问题,由学生自己设计实现逻辑功能的电路、选用芯片、进行安装调试、排除故障。同时还设置了理论与实践综合课程——课程设计内容,将理论教学与实践教学紧密结合。通过理论课程的学习和实训课程的实践,使学生基本掌握电子技术基础知识和基本技能,再通过相应的课程设计将理论用于实践,将设计和实现融为一体,使学生在课程设计中即能提高运用所学知识进行设计的能力,又能在这一过程中体会到理论设计与实际实现中的距离。从工程角度出发培养学生的工程思维方法、工作方法和应用所学知识解决实际问题的能力。使能力培养贯穿于教学的全过程。

为了培养学生分析和排队故障的能力,在教学内容提要的安排上,还先后介绍了《技能训练中故障的检查与排除》和《数字系统一般故障的检查和排除》等内容。使学生得到较为系统的故障诊断与排除的训练,从而提高学生解决实际问题的能力。

通过以上几种形式对教学内容的组合整编,使学生易学易懂,教师便于组织教学,有利于激发学生的学习积极性,培养学生的工程观念,训练学生工程实用技能。

3.结束语

从现代教学设计理念出发,我们对《数字电子技术》课程的教学内容,教学方法和教学媒体的设计进行了探索,积累了一些实践经验,也取得了一些成效。但是,探索和尝试是初步的,教学设计还有一些方面我们还没有涉猎到,通过教学设计如何来解决《数字电子技术》课程与工程实际相脱节,与职业资格证书考试相脱节的问题,我们也未涉及到。上述未解决的问题,我们期待与大家共同一道探索。

【参考文献】

[1]. 黄荣怀 宋文官 编《架设桥梁》高等职业教育现代教育技术的应用 [M]北京高等教育出版社 2005.1 11

[2]. 梁俊 编 《关于中职教育应用型人才培养的思考》[J]四川技术学院学报2005.2第1期 97

篇5:数字电子技术课程设计心得

一. 设计目的„„„„„„„„„„„„„„„

二. 实现功能„„„„„„„„„„„„„„„

三. 制作过程„„„„„„„„„„„„„„„

四. 原理框图„„„„„„„„„„„„„„„

4.1 数字钟构成„„„„„„„„„„„„„„„

34.2设计脉冲源„„„„„„„„„„„„„„„

44.3 设计整形电路„„„„„„„„„„„„„„

4.4 设计分频器„„„„„„„„„„„„„„„

4.5 实际计数器„„„„„„„„„„„„„„„

64.6 译码/驱动器电路的设计„„„„„„„„„„„ 7

4.7 校时电路„„„„„„„„„„„„„„„„ 8

4.8 整点报时电路„„„„„„„„„„„„„„

4.9 绘制总体电路图„„„„„„„„„„„„„

五. 具体实现„„„„„„„„„„„„„„„

5.1电路的选择„„„„„„„„„„„„„„„

5.2集成电路的基本功能„„„„„„„„„„„„ 10

5.3 电路原理„„„„„„„„„„„„„„„„

六. 感想与收获„„„„„„„„„„„„„„„ 12 七. 附

录 „„„„„„„„„„„„„„„ 数字电子技术课程设计报告

一、设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。

数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。

二、实现功能

① 时间以12小时为一个周期; ② 显示时、分、秒;

③ 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④ 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; ⑤ 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。

三、制作过程

1.确立电子数字计时器的制作思路

要想构成数字钟,首先应有一个能自动产生稳定的标准时间脉冲信号的信号源。还需要有一个使高频脉冲信号变成适合于计时的低频脉冲信号的分频器电路,即频率为1HZ的“秒脉冲”信号。经过分频器输出的秒脉冲信号到计数器 中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,这就需要分别设计60进制,24进制,(或12进制的计时器,并发出驱动AM;PM的标志信号)。各计数器输出的信号经译码器/驱动器送到数字显示器对应的笔划段,使得 “时”、“分”、“秒”得以数字显示。

任何数字计时器都有误,因此应考虑校准时间电路,校时电路一般采用自动快调和手动调整,“自动快调”是利用分频器输出的不同频率脉冲使得显示时间自动迅速的得到调整。“手动调整” 是利用手动的节拍调整显示时间。

2.查阅资料绘出各部分的电路图(详见原理框图)

数字计时器的设计方法:(1)设计脉冲源(2)设计整形电路(3)设计分频器(4)设计计数器(5)译码器/驱动器(6)设计校时电路

3.按所设计的电路去选择、测试好元器件、并装配成为产品

4.准备设计论文答辩

四、原理框图

1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

数字钟组成框图

2.设计脉冲源

自激式振荡电路有:自激多谐振荡器,激间歇振荡器这次我们选择晶体振荡器原因如下: 由于通常要求数字钟的脉冲源的频率要十分稳定、准确度高,因此要采用石英晶体振荡器,其他的多谐振荡器难以满足要求。石英晶体不但频率特性稳定,而且品质因数很高,有极好的选频特性。晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。石英晶体振荡器的频率取决于石英晶体的固有频率,与外电路的电阻电容的参数无关一般情况下,晶振频率越高,准确度越高,但所用的分频级数越多,耗电量就越大,成本就越高,在选择晶体时应综合考虑。

一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(b)所示,由CMOS非门U1与晶体、电容和电阻构成晶体振荡器电路,U2实现整形功能,将振荡器输出的近似于正弦波的波形转换为较理想的方波。输出反馈电阻R1为非门提供偏置,使电路工作于放大区域,即非门的功能近似于一个高增益的反相放大器。电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,同时提供了一个180度相移,从而和非门构成一个正反馈网络,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确。

(a)CMOS 晶体振荡器(仿真电路)

3.设计整形电路

由于晶体振荡器输出的脉冲是正弦波或是不规则的矩形波,因此必须经整形电路整形。我们已学过的脉冲整形电路有以下几种:削波器、门电路、单稳态电路、双稳态电路、施密特触发器等。通过查阅资料主要使用施密特触发器:

门电路组成的整形电路

4.设计分频器

分频器 —— 能将高频脉冲变换为低频脉冲,它可由触发器以及计数器来完 成。由于一个触发器就是一个二分频器,N个触发器就是 2N个分频器。如果用计数器作分频器,就要按进制数进行分频。例如十进制计数器就是十分频器,M进制计数器就为M分频器。若我们从市场上购买到石英晶体振荡器其频率为32768HZ,要想用该振荡器得到一个频率为1HZ的秒脉冲信号,就需要用分频器进行分频,分频器的个数为2N =32768HZ,N =15 即有15个分频器。这样就将一个频率为23768HZ的振荡信号降低为1HZ的计时信号,这样就满足了计时规律的需求:60秒=1分钟,60分=1小时,24小时=1天。

5.设计计数器

计数器的设计,以触发器为单元电路,根据进制按有权码或无权码来编码,采用有条件反馈原理来构成。当 “小时” 的十位为2;个位为3时,只要个位数

“分”

有进位时,就应使十位的“小时 ”的位数归零,因此24小时进制计数器要采用有条件反馈的设计。(12进制计数器也同理);但应在归零的同时发出驱动AM(上午)、PM(下午)标志的信号。

按规律,一般设计计数器的方法

秒部分:个位选用模10计数器;十位选用模6计数器 分部分:个位选用模10计数器;十位选用模6计数器 小时部分:模12计数器;或模24计数器 6.译码/驱动器电路的设计

在数字系统中常常需要将测量或处理的结果直接显示成十进制数字。为此,首先将以BCD码表示的结果送到译码器电路进行译码,用它的输出去驱动显示器件,由于显示器件的工作方式不同,对译码器的要求也就不同,译码器的电路也不同。数字显示的器件的种类:荧光管、辉光管、发光二极管、液晶显示屏等.译码器电路:此次我们选择的是LED共阳极发光二极管显示器 显示电路如下: 原理图

7.校时电路

校时电路是计时器中不可少的一部分因为当即时间与计时器时间不一致时,就需要校时电路予以校正。校时电路有两种方案:第一、校时用的脉冲可选用频率较高的不等的几种脉冲,从计数器的总输入端(秒计数器的第一级输入端)送入。

第二、校时用的脉冲,分别将秒脉冲送到“计小时”的计数器的输入端,“计分”的计数器输入端,但校时、校分时,应将原计数回路关闭或断开。校秒时可采用关闭或断开秒计数器的脉冲信号输入端使其停止计时 8.整点报时电路

电路应在整点前10秒钟内开始整点报时,即当时间在59分50秒到59分59秒期间时,报时电路报时控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。

实现方式:

说明:当时间在59分50秒到59分59秒期间时 分十位、分个 位和秒十位均保持不变,分别为5,9和5;因此,可以将分计数器十位的Qc和QA,个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。IO1分计数器十位的Qc和QAIO2U1VCC15VVCC2345VIO3分计数器个位的QD和QAX18IO456114V_0.5WIO512秒计数器十位的QC和QA74HC30DIO6数字钟设计-整点报时电路部分 9.绘制总体电路图

五:具体实现

1、电路的选择:

我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体作为稳频元件,准确又方便。

数字钟专用集成块如下:

a.译码/驱动电路:LM8361,M8560,LM8569,TMS3450NL,MM5457,MM5462集成电路,因为它在所有型号中静态功耗最低。其管脚图见图(12)

b.分频器:我们采用了CD4060。

c.反相器: 我们选用了CD4069(内含有六个反相器)。

2、集成电路的基本功能

(1)CD4060:它是一个十四级二分频器,它所产生的信号频率为30720HZ,经九级两二分频后,得到一个60HZ的脉冲信号,见图。

(2)CD4069反相器: F1—F6六个反相器,通过外接电路去控制各电路的工作状态,管脚见图:

(3)MM5462: 它是集译码/驱动电路为一体,它是60HZ时基24小时专用集成电路。1-4,6-12,22十三个端子是显示笔划输出的,1脚是四个笔划,其余每脚输出二个笔划,16脚为正电源,5脚为负电源,20脚睡眠输出是直流信号,由17脚动和关闭,由13脚调整至需要值,最大值59分钟倒计时。17脚是内部振荡器RC输入端,该振荡信号一是作为外部时基的备用,二是13闹输出的信号源。在我们选用的这套套件没有用20脚的睡眠功能。19脚为时基信号输入脚。14、15、18脚是操作控制端,若接高低电平各有不同的功能。值得注意的是所有的输出端均为低电平有效。

、3、电路原理:(见图原理方框图)

CD4060 CD4069 变压器将交流220V电压,变为双7.5V交流低电压,经全波整流后路经D

411 供显示屏驱动电路,而另一路经滤波后供主电路。由于时钟需要脉冲源,我们选用了JT,R1,C3和CD4060内部的两个反相器组成的晶体振荡器,目的是为了提脉冲源的稳定度,而脉冲源产生的波形不是规则的矩形波,因此,需经整形器整形后,送到下一级,由于脉冲信号源的频率较高,经CD4060九级分频及计数后变换低频脉冲信号。由13脚得到60HZ的脉冲信号一路送入MM5461的19脚,另一路去控制由F4,Q2,Q3组成的显示屏驱动电路。由于F4的倒相作用,使Q2,Q3和时基信号交替导通,形成间歇点亮显示屏,使它工作在正常状态。

当60HZ的信号从MM5461的19脚进入后,由控制电路各部分电路的正常工作经译码与驱动电路去控制显示屏各个应亮的端。

F1,F2,F3,R2,R8,C5,K1组成了一个“电子自锁式开关”,每控一次K1,F2的输出状态会改变,一路去控制MM5461的18脚,另一路去驱动显示屏右下点的发光二极管以指示该功能的工作状态。“亮”表示“闹钟时间已设置”,“灭”表示“闹设置取消”。

R7,Q1,FMQ组成闹输出放大电路,控制信号由MM5461的13脚输出。当响闹时,按下K5可使闹暂停并延时九分钟再闹,还可多次使用报时延时,响闹总时长59分钟。

由于MM5461无秒信号输出,故用F5,F6,R3,R4,C4组成秒信号发生器,经Q4去驱动显示屏中间的“冒号”闪动。电路中各开关的功能:

K1:闹钟时间的设置开关。K1+K5快调闹时间的设置。K1+K4慢调闹时间的设置

K2:时间的设置开关。K2+K5 快调时间的设置

K2+K4慢调时间的设置。K3:闹钟时间显示开关。单击K3可显示事先所设置的报时的时间 K4:慢调时间开关

K5:快调时间开关/暂停/显示

电路中,R10(1K)的作用,是防止开关操作工作时,正负电源短路。R13,R27,R9为限流电阻,它们决定显示亮度。

六:感想与收获

这次的比赛是我们三个人一起参加的,在比赛前的一段时间里,我们三个人的收获很大,具体有三点:(1)有利于我们学习能力的提高。这里所说的学习能力包括获取资料的能力、理解前人思路的能力、系统设计能力、动手能力、分析排除故障能力、表达能力等很多方面,而这段时间的经历,我们提高都很大。

(2)有利于我们团队精神的培养。在课堂之外实际的工作中,我们三人一般都要合作共同完成某一项目,这就非常需要团队精神,而这一点在课堂常规教学中得到的锻炼是很有限的。三个人必须互相信任、互相配合、分工合作,在顺境时小组成员要相互提醒保持冷静,逆境时要相互鼓励共度难关,出现问题时不能相互埋,这些与课堂教学强调独立性是有明显区别的。

(3)有利于我们各种能力的锻炼。第一、不够细心比如由于粗心大意焊错了线,第二,是在学习态度上,这次培训是对我的学习态度的一次检验。我第一次体会到要作一名电子设计师,要求具备的首要素质是严谨。我们这次制作所遇到的多半问题多数都是由于我们不够严谨。第三,在做人上,我认识到,无论做什么事情,只要你足够坚强,有足够的毅力与决心,有足够的挑战困难的勇气,就没有什么办不到的。

电设赛场风云涌,各路英豪皆争雄。今朝罢去怀壮志,来届电赛再显锋!七:附录 电路原理总图:

附录

篇6:数字电子技术基础课程设计

电子1412

姓名:孙玮

苏州科技大学 电子与信息工程学院

数字电子技术基础课程设计报告

专业班级:电子1412 学号:14200106214

姓名:孙玮

指导教师:潘欣裕

2016年

07月

03日

苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

一、基础部分(共55分,利用下列芯片,构建出具有验证其逻辑或时序功能的系统,实现仿真电路,并附详细参数计算及说明)1.1、基于74138、74148编码、解码系统。(10分)

图1

图2 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图1为编码器电路,图2为解码器电路。他们的逻辑转换表如下所示。

图3

图4 74HC148在S=0电路正常的工作状态下,允许I0~ I7当中同时有几个输入端为低电

’’平,即有编码输入信号。I7的优先级最高,I0的优先级最低。当有多个输入时,编码器只

’’’会对优先级最高的进行编码,优先级较低的不会进行编码。当出现Y2、Y1、Y0都为0时,’’’可以用Ys和Yex的不同状态来区分。只有当S为0时。编码器才会工作,不为0 时,编码

’’器不工作,输出均为1。有输入时Ys为1,Yex为0,当使用两片接成16-4编码器时,第一’’片的Ys连到第二片的S。

’’ 74HC138只有当S1=1,且S2=S3=0时才会工作。数据由S1段输入,由A2A1A0来确定输出口,所以S1成为数据输入端,A2A1A0为地址输入端,以反码输出。

将73HC148的输出作为74HC138的地址输入可以实现完整的编码解码电路。’

’1.2、基于74161或74160的计数电路。(10分)苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图5 图5所示为基于74HC161的计数电路。该电路是由两片74HC161级联实现的256进制计数器。其输入端逻辑电平如下图所示。

图6

’74HC161为十六进制计数器,其从0000到1111计数。RD为0时,74HC161不论其他引

’’脚的接法直接异步置零,当CLK为上升沿时,且RD为1,LD=0是芯片工作在预置数状态,’’同步置数;CLK上升沿,RD=LD=1,芯片处于计数状态,每来一次上升沿,芯片会有一次加一。图中芯片处于计数状态,~LOAD和~CLR接1,ENP与ENT接1,芯片开始正常计数。当数据加到1111时,在RCO处产生进位。此外,通过多个级联可以实现多进制的计数器。

1.3、基于74151数据选择器的功能电路。(10分)

图7所示为基于74151数据选择器的功能电路。图8所示为74151数据选择器的逻辑转换表。74151是八选一的数据选择器,使用ABC输入地址代码,可以选择八个数据中的一个,并在Y输出,~W输出Y的取反值。例如如图中所示,当输入为D0=D1=D2=D4=D5=1,D3=D6=D7=0,A=0,B=C=1,数据选择器选择了D3,所以表现在二极管上是不导通。

苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图7

图8 1.4、基于JK触发器的时序电路。(10分)

图9 图9所示为由四个JK触发器构成的十六进制计数电路。其输出波形如下图所示。

图10 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

由图可见,各触发器驱动方程分别为T0=1 T1=Q0 T2=Q0Q1 T3=Q0Q1Q2。将上式代入T触发器

*’*’’*’(由JK触发器构成)的特性方程可得Q0=Q0Q1=Q0Q1+Q0Q1 Q2=Q0Q1Q2 *’’’Q3=Q0Q1Q2Q3+(Q0Q1Q2)Q3+(Q0Q1Q2)Q3。电路输出方程为C= Q0Q1Q2Q3。其电路状态转换表如下图所示。

图11

1.5、555的信号产生电路、施密特触发电路各一个。(15分)

图12 如图12所示为基于施密特触发器的整波电路。它的功能是将正弦波转化为方波信号。仿真的示波器截图如下图所示。苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图13 如图14所示为基于555定时器的多谐振荡电路。其充电周期T1=Ln2*(R1+R2)C2,放电周期T2=Ln2*R1*C2,T=T1+T2。因此,图中电路所产生信号频率为f=1/T=476Hz。测量波形如下图所示。

图14 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

二、提高部分(40分)

2.1、制作一个时钟电路,具有时、分、秒显示、重置、预置等功能,要求写出必要的设计过程,并画出对应的逻辑图,实现仿真。(15分)计数部分截图如图15所示;置数如图16所示;复位如图17所示。

1、秒钟设计:

秒钟是六十进制,用两片74HC160实现,第一片作为秒,十进制,第二片作为十秒,设置成六进制,并将第一片的进位信号连接到第二片的ENT与ENP;秒位满十进制进位溢出给十秒位计数信号,所以秒位计十次,十秒位计一次,从而实现六十进制。74HC160输出端接数码管读出计数。

2、分钟设计:

原理和秒钟一样,也是采用六十进制。

3、时钟设计:

时钟与之前两个不一样,设置为二十四进制,整体进行置数,当时钟达到24时直接置零,从头开始计数。

4、秒钟与分钟之间的连接:

当秒钟计到59时,会对分钟产生进位。所以用与门将秒位的二进制九和十秒位上的二进制五通过与门连接到分钟的ENT/ENP使得分钟正常计数开始,从而实现秒钟计数六十次,分钟计数一次。

5、分钟与时钟的连接:

原理与秒钟和分钟的连接类似,将秒钟和分钟上的二进制位的59通过一个与门连接到时钟的ENP/ENT,使得时钟得以正常计数,从而实现分钟计数60,时钟计数一次。

6、整体时钟的置零:

将各个位的CLR位引出来和六进制的复位连线经与门之后连接到单刀双掷开关上,CLR是低电平有效,所以当单刀双掷开关接地时,整个时钟电路时置零。

7、整体时钟电路置数:

将每一片的74HC160的输入端连接到一个开关,通过控制开关的连接控制输入1或者0。将所有芯片的Load端引至一个单刀双掷开关,低电平有效,从而实现同时置数。

以上就是设计时钟电路的简要思路。

图15 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

图16

图17

2.2、用两片四位全加器74283和必要的逻辑门设计一个数制转换电路,实现将输入的两位十进制数转换成二进制数,十进制数的输入采用8421BCD码来表示,要求写出必要的设计过程,并画出对应的逻辑图,实现仿真。(15分)

图18 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

如图18所示为仿真的截图。其左端输入BCD码10001001,右端LED显示的是01011001,均分别为十进制数89。设计思路:

假设有一个两位十进制数X,其对应的八位BCD码为ABCDEFGH,即ABCD*(10000)BCD +EFGH=(X)10。上式=ABCD*(1000)B+ABCD*(10)B+EFGH,所以二进制为ABCD000+ABCD0 +EFGH=ABCD000+ ABCD0+0EFG0+H。由上式可知,H可以直接输出,其为二进制的最低位。然后我们可以用第一片74283将ABCD与0EFG求和,将得到的结果设为KLMN,进位为O。于是二进制数可以表示为KLMN0+ O00000+ABCD000+H。由此可见,M与N分别为二进制的倒数第三与第二位。而其前四位可由74283将ABCD与OKL相加得到,最终输出七位二进制数。

2.3、自主设计一个具有特定功能,且包含4个以上不同类型芯片的系统,要求写出必要的设计过程,并画出对应的逻辑图,实现仿真。(10分)

本部分我自主设计了一个四位二进制乘法器,其仿真截图如下所示。图中两个输入端分别输入了1011与1101,其乘法运算结果为10001111,与仿真结果相符。

图19 苏州科技大学 电子与信息工程学院 数字电子技术基础课程设计报告

电子1412

姓名:孙玮

设计思路:

篇7:电子技术课程设计 数字钟的设计

《数字钟的设计》

专业:自动化

班级:14级自动化品牌一班

学号:20140632

姓名:付岩

指导教师:邬祥忠李振声

完成日期:2016年6月9日

一、设计题目 “数字钟的设计”

二、技术要求

1、设计一台能显示“秒”“分”“时”的数字钟,要求24小时为一计时周期。

2、当电路发生走时误差时,要求电路具有校时功能。

三、使用的元器件和电源 元器件:

1、七段显示译码器7448

2、数码管7SEG-DIGITAL

3、定时器NE555

4、十进制计数器74LS160

5、二输入与非门74LS00

6、非门74LS04

7、电阻100k 9C08052A1003JLHFT

8、电容10n CERAMIC10N

9、电容4u7 AUDI04U7

10、单刀双掷开关SW-SPDT 电源:+5V

四、设计内容

1、电路各部分组成及工作原理(1)振荡电路

振荡电路选用555定时器构成的多谐振荡器,如图1所示。多谐振荡器的振荡频率可由下式估算:

f=1/T=1.43/(R1+2R2)C 若选R1=R2=100k,要在输出端得到频率为1Hz的时钟信号,则C应选47UF。

图1

(2)计数器

主要使用6片74LS160十进制加法计数器实现,数字钟的“秒”“分”信号产生电路都由六十进制计数器构成,“时”信号产生电路为二十四进制计数器。采用反馈清零法的六十进制和二十四进制加法计数器电路分别如图2和图3表示。

图2

图3(3)译码显示电路

译码显示电路选用七段显示译码器7448和数码管7SEG-DIGITAL,以六十进制“秒”计时电路为例,将计数器、译码显示器和显示数码管连在一起,其电路示意图如图4所示。

图4(4)校时电路

通过单刀双掷开关SW-SPDT控制“分”“时”的进位信号,使其可以按照秒的速度快速计时,如图5所示。

图5

2、数字钟电路图

3、电路各部分的安装和调试方法(1)振荡器的安装与调试

按图1所示电路在试验箱上连线,输出接发光二极管,观察发光二极管的显示情况。(2)计数器的安装与调试

分别按图2与图3所示电路在试验箱上连线,CP为1HZ,可直接由试验箱连续脉冲输出端提供,观察在CP脉冲作用下,输出端发光二极管的状态变化情况,验证是否为六十进制和二十四进制计数器。

(3)译码显示电路的安装与调试

按图4所示电路在试验箱上连线,观察在CP脉冲作用下数码管的显示情况。

(4)校时电路

通过单刀双掷开关控制“时”“分”计时器的进位信号,使其按照“秒”计时器的速度校时

4、在整机电路的设计、调试过程中的心得体会

通过数天的设计与思索,我发现自己并不是真的全部掌握所学内容,虽然基本上已经掌握课上老师所讲的内容,可是一到把所用内容整合在一起具体应用时,还是出了很多问题。

比如关于计数器方面的学习,因为所学的是如何将两片74LS160连接构成几十几进制的计数器,感觉很简单,而事实也如此,因此忽略了如何进位的问题。在这次设计开始前,我想当然就以为秒分时各计数器全由一个CP脉冲作用就好了,而各计数器之间的连接也按每个计数器间的接法照旧。结果发现根本不对,秒计数器倒是正常走了,走到59时,下一秒时分计数器根本不动,想了半天却还在钻牛角尖。最后经过查书重新地仔细地看了这部分知识,才发现其中的错误,开始用秒计时器的清零端MR取非给分计时器进位,可突然却发现又出现问题:各计时器之间能正常进位,可是分、时计数器的数码管却全显示1,于是再想是不是还有控制CP信号的端口,于是发现把上一计时器的进位端接入下一计时器的CP段就可以了,电路可以正常进位。想了一两天的问题解决了,打算看看效果,于是更改振荡器中电阻的数值,将100k改换成100欧姆,变换速度瞬间提高一千倍,很快地走了一个周期,从而验证了自己的想法。

当然还有其他的问题,比如关于译码显示电路,选用实验书中的4511译码器,结果发现除QA到QG没有变换其他各端都挺正常的变化(仿真过程中显示红蓝灰点,QA到QG一直不变),后来还是选用数电书上的7448译码器,因为书上的有相关的解释,相关知识也能弄清楚,不用费多少脑力。

不过,关于校时电路的部分,我自己实在是没弄懂,找别人问问发现没人看懂,结果有个同学说使用单刀双掷开关,觉得这想法可以,就用上了。

篇8:数字电子技术课程设计心得

1 问题所在

在课程方面的问题集中表现为:传统教材内容落后, 新知识不能充分体现;课程模式未能摆脱以学科为中心, 教师为中心的传统模式;教学过程传统单一, 学生自主学习能力不够;理论教学偏多, 学生积极主动参与能力不高;实践技能训练没有受到足够的重视, 实践性教学比例明显偏低;教学方式方法僵化, 不能充分运用现代教学手段;考核机制与岗位脱节, 不能充分发挥其引导作用;课程研究水平不高, 课程教学与就业需求结合程度不够。

2 应对方法

2.1 调整教学内容, 制定适合本专业的教学大纲。

数字电子技术与逻辑设计主要介绍逻辑代数基础和逻辑电路的分析与设计方法。随着大规模超大规模集成电路的迅速发展, 传统教学中的的中小规模电路被逐渐取代, 所以本门课程改革的第一个内容就是向学生们讲授更适用、更具有发展前途的新型技术和新知识, 使学生们接触信息的前沿, 从而适应飞快发展的技术要求。对于教学内容的改革, 我们采取了重新编制教学大纲, 删除陈旧知识点, 压缩传统教学内容, 增加反映新科技的内容等方法。具体体现如下:

2.1.1 比如移位型计数器的相关知识在授课过程中可以直接删除;

功能类似的电路不必面面俱到, 可以用一个典型的电路代表, 比如分立元件门电路, 我们只涉及对后续章节有用的基本工作原理, 关于它的外特性及一些相关参数不再介绍, 还有逻辑函数的化简这部分可以适当进行精简, 对于触发器一章, 着重突出它的逻辑功能和动作特点, 具体电路就不详细分析。脉冲电路一章, 由于555定时器构成的脉冲电路灵活适用, 所以把重点放在它的介绍和应用上。数模和模数转换一章, 因为数模转换器种类多, 选择其中的几个作为代表, 重点强调的是电路的分析方法。

2.1.2 加强在应用上越来越占主导地位的教学内容, 比如PLD

器件的原理和应用, 加强反映数字电子技术新成果和数字设计新方法的教学内容等。

为了提高学生动手实践能力, 我们选择了一些简单的实用系统来提高学生学习的积极性。在设计题目的选择上, 我们尽量选择那些和实际生活、和学生专业特点紧密结合, 同时还要和所学理论知识相结合的题目, 以便提高学生对基础知识的理解和专业知识的认识。

2.2 把专业教学和能力培养相结合, 以能力为本位, 深化课程改革。

高职学生的职业能力, 包括专业能力、方法能力和社会能力, 而能力的培养, 在教学过程中不能够单独培养, 而是交叉进行的, 具体方法如下:

2.2.1 建设多维教学资源。

这些资源应包括融教学方法、经典内容与“四新”内容有机结合的模块式的主教材、项目式的实践教材、形式多样的学习指导, 可供教师选择的案例库、素材库、教师手册和多媒体课件等。

2.2.2 创新教学方法和手段。

在教学过程中, 对不同课程类型采用不同教学方法组合和教学手段组合。对目标单一的知识传授和技能培训, 可以采用以下教学方法: (1) 适合个体化教学辅导的谈话教学法。谈话教学不同于日常谈话, 它有明确的学习目标, 且信息传递是双向的; (2) 经典的程序化技能培训采用四阶段教学法 (准备、教师示范、学生模仿、练习总结) ; (3) 六阶段教学法 (激励、遭遇困难、寻找解决问题的方法、试验、记忆与掌握、运用) 。对综合能力的培养, 我们采用项目教学法。

在数字电子技术与逻辑设计课程的教学方法改革中, 我们摒弃了手把手教的教学方法, 减少教师的指导环节, 充分发挥学生的主观能动性。我们把器材全部发给学生, 让他们自己学着辨别。由于数字电路种类繁多, 但是分析设计方法却相似, 所以在理论讲解过程中, 我们采取突出基本理论和基本方法, 在讲解电路的时候以点带面, 举一反三, 这样可以提高学生自主学习的积极性。

2.2.3 改革考核方式方法。

在考核方式、方法的选择上, 根据本课程的特点, 采用灵活、多样的考核方法, 比如闭卷、操作、报告与答辩等, 评价主体既有他评, 又有学生的自评和互评。最终构成“以学生为本”的考核与评价新模式, 即形成性评价与终结性评价相结合, 理论与实践相结合, 学生自评、互评相结合。

3 教师在教学环节中应做到以下几点

首先, 通过和学生沟通, 建立良好的师生关系和教学关系。在教学过程中, 我们要处理好传授知识与培养能力的关系, 注重培养学生的独立性和自主性, 引导学生质疑、调查、探究, 在实践中学习, 使学习成为在教师指导下主动的、富有个性的过程。关注个体差异, 创设能引导学生主动参与的教育环境, 激发学生的学习积极性, 培养学生掌握和运用知识的态度和能力, 使每个学生都得到充分的发展。

其次, 在教学过程中做到课堂上教, 同时通过实训和实验让学生做中学, 真正做到教、学、做一体化, 用任务驱动、项目导向的方法来调动学生的学习积极性, 让学生真正能学用所成学有所用。

参考文献

[1]教高[2006]16号文件.

上一篇:描写足球赛作文300字下一篇:设备主管年终工作总结