课程设计数字电子钟

2024-04-22

课程设计数字电子钟(通用6篇)

篇1:课程设计数字电子钟

课程设计名称: 电子技术课程设计

题 目: 数字电子钟课程设计

专 业:班 级:姓 名:学 号:

电气工程及其自动化 电气10-5 曹庆春 1

1001150103

目录

1.综述……………………………………………………………………1 2.电路组成………………………………………………………………2 2.1电路原理组成………………………………………………………2 2.2振荡电路……………………………………………………………3 2.3分频电路……………………………………………………………4 2.4计数电路……………………………………………………………5 2.4.1二十四进制的实现………………………………………………5 2.4.2六十进制的实现…………………………………………………5 2.5译码与显示电路……………………………………………………6 2.6校时电路……………………………………………………………7 2.7报时电路……………………………………………………………8 3.整体电路图…………………………………………………………10 4.结论…………………………………………………………………13 5.心得体会……………………………………………………………14 6.参考文献……………………………………………………………15

1.综述

数字电子钟主要分为数码显示器,60进制和24进制计数器,频率振荡器和校时报时这几个部分。数字电子钟要完成显示需要6个数码管,七段的数码管需要译码器械才能显示,然后要实现时、分、秒的计时器需要60进制计数器和24进制计数器,60进制、24进制可以采用74LS160计数器构成。秒信号可以由555定时器产生脉冲并分频为1Hz。

2.1电路的组成原理

数字钟它的计时周期为24小时,显示满刻度为23时59分59秒。因此,一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”,校时电路、报时电路和振荡器、分频器组成。秒信号是整个系统的基信号,它直接决定计时系统的精度,本设计采用555振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,图示为数字电子钟钟的一般构成框图。

图2.1 数字电子钟的结构图

2.2振荡电路

数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,振荡器的频率越高,计时精度越高。

本设计采用555构成的自激多谐振荡器通过调节电阻值产生1000Hz的高频信号。由

f11TR12R2Cln2,设C0.01uF,可得R15.1k,R270k.从而产生f1000Hz的信号。

2.3分频电路

要精确输出1Hz脉冲,对电容和电阻的数值精度要求很高,所以输出脉冲不够准确也不够稳定。用555直接产生1Hz的信号不准确,所以用其先产生f1000Hz的高频信号,在经过1000分频的分频电路产生1Hz的秒脉冲,这样做可以保证秒信号的准确性与稳定性。分频器是三个用十进制计数器74LS90串联而成的分频器,分频原理是在74LS90的输出端子中,从低位输入10个脉冲才从高位输出1个脉冲,这样一片74LS90就可以起分频作用,三个74LS90串联就构成了千分频电路,输出的便是1Hz的信号,从而可以实现秒脉冲的产生。

图2.3 千分频器

分频电路产生的1Hz秒脉冲:

图2.4 分频过后的秒脉冲

2.4计数电路

数字钟的计数电路是用两个六十进制计数电路和一个二十四进制计数电路实现的。数字钟的计数电路可以用反馈清零法。当技术器正常计数时,反馈门不起作用,只有当进位脉冲来到时,反馈信号将计数电路清零,实现相应的循环计数。用74LS160实现六十进制与二十四进制的计数电路。

2.4.1时计数器:用两片74LS160串行进位实现二十四进制

图2.5 二十四进制计数器

2.4.2分、秒计数器:用四片74LS160串行进位分别实现两片六十进制

图2.6 六十进制计数器

2.5译码与显示电路

译码与显示电路如图,译码是编码的相反过程,译码器是将输入的二进制代码翻译成相应的输出信号。常用的集成译码器有二进制译码器、二—十进制译码器和BCD—7段译码器。

本设计用74LS47D作为译码器与七段数码管相连接。译码与显示电路连接原理图:

图2.7 译码与七段数码管接线图

秒计数数码显示:

图2.8 秒计数显示图

2.6校时电路

校时电路如图琐事,用到的元器件有三个单刀双掷开关S1,在设计中使用1、2、3脚。脚1接从分频器出来的1Hz标准脉冲,脚2接正常的进位脉冲,脚3接输入时钟信号CLK。当正常工作时将开关打到2,进行正常的计数,即校时时不影响正常计数。

图2.9 单刀双掷开关图

下图示为分校时电路:

图2.10 分校时电路

2.7报时电路

2.7.1蜂鸣器工作原理图:

给高电平工作通过调节蜂鸣器的频率与电压来实现蜂鸣器的声音的大小与品质。

图2.11 蜂鸣器接线图

2.7.2报时电路图

电路应在整点前5秒开始报时,即在59分55秒到59分59秒期间时,报时电路控制信号。

当时间在59分50秒到59分59秒期间时,分十位、分个位和秒十位保持不变,分别为5、9、5,因此可将计数器十位的Qc和Qa,个位的Qd和Qa及秒计数器十位的Qa和Qc相与,从而产生报时控制信号。

由于与门容易产生竞争冒险现象,故采用与非门和非门串接。电路如下图所示:

图2.12 报时电路示意图

由于使用的是TTL门电路,所以允许悬空。

图2.13 报时电路实际接线图

3.整体电路图 3.1 进位脉冲

图3.1 进位脉冲

图3.2整体电路图

图3.3 秒计数与报时电路整体电路图

图3.4 秒计数与报时电路整体电路图

图3.5 分计数整体电路图

结论

数字电子钟的实现方法很多,根据我所学的知识,选择恰当的计数器和振荡电路来控制其信号的稳定性。数字电子钟电路的振荡电路,分频电路,计数器电路,译码与数码管显示电路,校时电路,报时电路都是息息相关的。其中每一个部分都得做到准确性来保证数字电子钟的精确性。本设计采用555多谐振荡产生1000Hz信号,在经过分频器产生1Hz的秒信号,其实采用石英晶振振荡电路,这样产生的信号更加的稳定与精确。

课程设计体会

这学期期末我们做了数字电子技术课程设计,我设计的是数字电子钟。个人觉得这是一次将理论应用与实践的活动,在设计过程中不仅锻炼了我们积极思考的好习惯,而且培养了我们一丝不苟的作风,严谨求实的态度,踏踏实实的精神。

由于拥有模拟电子技术基础和数字电子技术基础的理论知识,加之这次的课程设计,使我对以往的一些知识有了更深入的理解。

我在设计过程中认真的翻阅大量的书籍,去网上搜寻资料,在看了很多思路之后形成了一套我自己的思路。所谓博览群书,而后了然于胸。一旦形成了自己的思路,在设计过程中可以说是得心应手,泉思涌动。当然在设计过程中,我学会了Multism这个软件进行仿真,感觉用的挺好的,仿真是数字电子钟运行的良好。我感觉这增强了我以后设计的信心,我也喜欢上了设计,很好的培养了我对设计的兴趣,启蒙了我。I will remember it forever!

参考文献及资料

【1】Multism9在电工电子技术中的应用/董玉冰主编.—北京:清华大学出版社,2008.11 【2】数字电子技术基础/阎石主编;清华大学电子学教研组编.—5版.—北京:高等教育出版社,2006.5 【3】模拟电子技术基础/童诗白,华成英主编;清华大学电子教学教研组编.—4版.—北京:高等教育出版社,2006.5 【4】数字电子技术实验与实践/吴慎山主编.—北京:电子工业出版社,2011.4 【5】Multism7电路设计及仿真应用/熊伟等主编.—北京:清华大学出版社,2005.7 【6】数字电子技术基础/范文兵主编.—北京:清华大学出版社,2007.12 【7】数字逻辑电路实验/候传教等编.—北京:电子工业出版社,2009.7 【8】数字电子技术基础实验教程/张秀娟,薛庆军主编.—北京:北京航空航天大学出版社,2007.10

篇2:课程设计数字电子钟

一. 引言„„„„„„„„„„„„„„„„„„„„„„„2

二. 课程设计目的„„„„„„„„„„„„„„„„„„„2

三. 设计所需要的器材„„„„„„„„„„„„„„„„„2

四. 课程设计原理„„„„„„„„„„„„„„„„„„„2

五. 课程设计各个部分模块的介绍„„„„„„„„„„„„2

1.振荡器„„„„„„„„„„„„„„„„„„„„„„„„„„„„2.2.分频器„„„„„„„„„„„„„„„„„„„„„„„„„„„„3.3.计数器„„„„„„„„ „„„„„„„„„„„„„„„„„„„„3.4.译码器„„„„„„„„„„„„„„„„„„„„„„„„„„„„3

5.显示器„„„„„„„„„„„„„„„„„„„„„„„„„„„„4

6.正点报时的扩展电路„„„„„„„„„„„„„„„„„„„„„„4

六.设计总结„„„„„„„„„„„„„„„„„„„„„„4

七.心得体会„„„„„„„„„„„„„„„„„„„„„„4

八.各部分电路图„„„„„„„„„„„„„„„„„„„5—8

九.总电路图„„„„„„„„„„„„„„„„„„„„„.9

一.引言

当今时代,电子技术迅猛发展,各种各样的电子产品也相继出现,数字电子钟也应运而生。数字电子钟能够将时间以数字的形式直观地展现出来,让人们更加清楚地掌握时间,因此备受人们的青睐。数字钟是采用数字电路来实现的,以“时”、“分”、“秒”的形式直观地显示时间。它已成为人们日常生活必不可少的一部分,广泛地应用在各家各户以及车站等公共场所,数字钟的广泛应用,有着非常现实的意义,由于数字集成电路的发展,使得数字电子钟的精度,远远超过老式钟表, 而且具有较好报时功能。本设计采用各种集成电路,进行了一个具有正点报时功能的数字电子钟的设计。由于本人能力有限,设计中如有不足之处,还请老师批评指正。

二.课程设计目的1.独立完成一个数字电子钟的设计;

2.了解和掌握用数字集成电路来设计数字钟的基本原理和方法;

3.掌握N进制计数器的设计与并了解一些常用的电子芯片的功能;

4.进一步巩固所学到的理论知识,并应用所学知识分析和解决实际问题;

三.设计所需要的器材

1.555定时器一个

2.电阻:2K、10K、5.1K、0.3K各一个;1K电阻42个

3.电容:0.1ūF、0.01ūF各一个

4.芯片:74LS90(三个)、74LS161(两个)CD4518一个、CD4511(六个)

5.共阴极七段显示器(六个)

6.喇叭1个

四.课程设计原理

数字钟是由振荡器、分频器、计数器、译码器、显示器以及具有正点报时功能的扩展电路所构成的。

欲设计一个数字电子钟,首先应该有一个脉冲源(能够自动的产生稳定的标准时间脉冲信号),即为振荡器;但是一般脉冲源所产生的脉冲信号的频率较高,所以,就需要使用分频器对其进行分频,从而得到适合用来计时的秒脉冲信号,即频率为1Hz的秒脉冲信号;经过分频器输出的秒脉冲信号,再进入计数器当中进行计数,又由于在计数时,北京时间规定60秒为一分钟,60分钟为一小时,24小时为一天,因此就需要两个60进制的计数器和一个24进制的计数器;计数器计数完毕后再经过译码器进行译码;最后在显示器中将累计结果以“时”、“分”、“秒”的形式显示出来。能够正点报时的扩展电路完成了对整时的提示,使人们能够更清楚地掌握时间。图1为数字钟的逻辑框图。

五.课程设计各个部分模块的介绍

1.振荡器

振荡器的精确度和稳定性对电子钟的质量影响最大,石英晶体振荡器具有震

荡频率准确、频率容易调整且电路结构较简单的优点。但一般来讲,如果振荡器的频率和其计时精度越高,则其耗电量越大。555定时器是一种将模拟功能与逻辑功能巧妙地结合在一起的中规模集成电路,功能灵活,所以本设计采用由集成电路定时器555与RC组成的多谐振荡器。555定时器由电阻分压器、比较器、基本RS触发器、双极型三极管T和输出缓冲器组成,其外部有八个引脚,第8脚为电源端,第1脚为接地端,第3脚为输出端,第4脚为直接复位端,第5脚为控制电压输入端,第6脚为复位控制端,第2脚为置位控制端,第7脚为放电端。图2为由集成电路定时器555与RC组成的多谐振荡器的电路图,图3为555定时器的引脚图。R为可调电阻,调节R1时可以得到相应频率的信号输出。

2.分频器

由于振荡器所产生的信号频率很高,因此需要由分频器来实现对信号频率的调整,从而得到频率为1Hz的脉冲信号,本设计采用3片中规模集成电路计数器74LS90来实现,从而得到设计所需要的秒脉冲信号,其电路图如图4所示。

3.计数器

“秒”和“分”计数器应当采用60进制计数器,而“时”计数器应当采用24进制计数器。秒脉冲信号经过六级计数器以后,分别得到“秒”的个位、十位,“分”的个位、十位,“时”的个位、十位的计时。

60进制计数:“秒”和“分”的计数都需要60进制,本设计根据《电子技术》课本中提到的知识,采用两片74LS161组成256进制计数器后再用反馈归零法来组成60进制计数,其中,“秒”十位是六进制,“秒”个位是十进制其电路图如图5所示。74LS161芯片的引脚排列图和逻辑功能示意图如图6所示。图中C是输入计数脉冲,CR非是清零端,LD非是置数端,CTp和CTt是计数工作状态控制端,D0~D3是并行数据输入端,CO是进位信号输出端,Q0~Q3是计数器状态输出端。

24进制计数:“时”的计数是24进制计数,本设计采用CD4518来实现24进制计数,CD4518是一个同步加法计数器,在一个封装中含有两个可互换二/十进制计数器,其功能引脚分别为1~7和9~{15}.该CD4518计数器是单路系列脉冲输入(1脚或2脚;9脚或10脚),4路BCD码信号输出(3脚~6脚;{11}脚~{14}脚)。完成24进制计数的电路图如图7所示,CD4518的引脚图如图8所示。

4.译码器

我们在新校区做电子试验时,在“译码器及其应用”实验中曾用到芯片CD4511,对其较为熟悉,因此本设计采用数字显示译码器CD4511,来实现计数器传来的信号的译码功能。译码是编码的逆过程,即,将给定的代码进行翻译的过程。当计数器所采用的码制不同时,译码电路也会随之不同。CD4511内接有

上拉电阻,故只需在输入端与数码管笔段之间传入限流电阻即可工作。其特点为:具有BCD转换、消隐和锁存控制、七段译码及驱动功能的CMOS电路能提供较大的拉电流。可直接驱动LED显示器。CD4511的引脚图如图9所示。

5显示器

本设计用七段发光二极管来显示译码器所输出的数字,显示器有共阳极显示器和共阴极显示器两种,而74LS48译码器所对应的显示器是共阴极(接地)显示器。LED7段显示器的外形图及二极管的连接方式如图10所示。

6.正点报时的扩展电路

该正点报时的功能为:最外端对其安装一个喇叭,每当正点到来时,按4次低音和一次高音的顺序发出间断声响,最后一声高音结束的时刻正好是正点。设声响为一秒钟,则相邻声响时刻为2秒,则低音发声时刻分别为59分51秒、53秒、55秒、57秒,高音发声时刻为59分59秒,由此可定出每次声响的时刻。部分门电路控制音响,输入有时、分的各相应位的控制组合。设高低音频率分别为512Hz和1024Hz。其电路图如图11所示。

六.设计总结

本数字电子钟的设计是由振荡器、分频器、计数器、译码器、显示器和正点报时电路所组成。但本设计电路的缺点是:没有设计校时电路,即据该方案进行生产得到的产品并无校时功能;且其只能正点报时,而不能报整时数。该设计方案的优点是:采用北京时间计时,直接将时间以数字形式表现出来、精确度较高、走时稳定、使用方便、且它具有正点报时功能。本设计采用有集成电路定时器555与RC组成的多谐振荡器组成,由它得到高频信号;再将此信号传至由3片中规模集成电路计数器74LS90相串联得到的分频器中,从而得到我们计时所需要的秒信号(频率为1Hz的秒信号);之后再将该信号传至计数器,计数器计数的准确性直接影响数字表的准确,且计数器部分是我们《电子技术》课程学习的重点,计数器包括两部分,即24进制计数和60进制计数,本设计24进制计数采用CD4518来实现,60进制采用我们《电子技术》课本上学到的方法:采用两片74LS161组成256进制计数器后再用反馈归零法来组成60进制计数器;经过准确计数后,再将信号传至译码器,由于我们在新校区做电子试验时,在“译码器及其应用”试验中曾用到芯片CD4511,故本设计采用显示译码器CD4511,来进行对来自计数器信号的译码(需要在输入端与数码管笔段之间串入限流电阻);最后将时间以数字形式体现在显示器上,显示器由七段发光二极管采用共阴极接法组成;本设计还有一个能够正点报时的扩展电路,它的功能是每当正点到来时,按4次低音和一次高音的顺序发出间断声响,它由组合逻辑电路组成。其总电路图如图12所示。

七.心得体会

通过这次对数字电子钟的课程设计,我觉着最大的收获就是增强了自己独立收集资料的能力,锻炼了自己独立思考、独立解决问题的能力。虽然我们至此已经完成了本学期对电子技术课程(模电部分和数电部分)的学习,但在本次课设的实际应用当中仍然遇到了很多未曾想到的问题。实际操作是我们的目的,而理论知识是我们实际操作的基础,这使我更加体会到了理论联系实际的重要性,同时也增加了自己解决实际问题的能力,对独立设计电路的过程、对各个分块电路的工作原理和功能的实现过程都有了更加清楚的了解。同时对所学到的理论知识有了更近一部的理解(尤其是计数器部分)。

此外,通过这次的课程设计,使得我对word等应用软件的应用能力有了更进一步的提高,为以后的工作和日常生活中的应用打下了结实的基础。

篇3:课程设计数字电子钟

情境教学模式是基于工作的学习环境, 模仿从业者真实活动, 或借助信息技术设计的逼真、仿真环境和虚拟实境来提高学习的有效性, 并保证知识向真实情境迁移的一种教学方法。以职业竞争力 (设计与建构能力) 培养为导向, 提出基于工作过程的职业教育课程设计理念———情境教育模式, 目的在于提高教学质量。基于工作过程的课程设计方法应按照现代职业教育指导思想, 赋予职业能力全新的内涵意义, 打破传统学科系统化的束缚, 将学习过程、工作过程与学生的能力和个性发展联系起来, 在培养目标中强调创造能力的培养, 而不仅仅是被动地适应能力的训练。该方法体现了创造能力在职业能力构成要素中的重要作用, 适用于创新型国家和市场经济对职业人才的要求, 成为本世纪初最先进的职业教育思想和教学设计方法。

基于工作过程的课程开发方法强调工作过程的整体性。工作过程系统化课程的核心理念是:学习的内容是工作, 通过工作实现学习。工作过程的核心包括工作者、工作对象、劳动工具、劳动方法和工作产品, 这些因素相互作用, 并且按照工作要求在特定的工作环境中完成工作任务。由于现代企业和社会已经进入以过程为导向的综合化运作时代, 在工作中所需要的知识技能, 要解决的实际问题, 都是综合性的, 因此, 教学必须与整体化的实际工作过程相联系。

基于工作过程的课程设计方法以职业工作作为一个整体化的行为过程进行分析, 而不是具体分析“点状”的、缺乏有机联系的知识和技能。它是将职业特点、工作过程、企业生产过程、个人发展目标与教学设计结合起来进行整体化的分析, 找出具有职业特征的典型工作任务, 构建“工作过程完整”而不是“学科完整”的职业教育学习领域课程。

二、基于学习情境教学模式的数字电子技术课程设计

学习情境要以真实的工作环境和真实的工作任务为载体。根据教学大纲把数字电子技术分成以下9个学习情境:

学习情境1:信号灯的逻辑控制的验证。

学习情境的设计往往要围绕着教学大纲的要求, 根据对象由浅入深、由易到难。数字电子技术和模拟电子技术的课程性质不能说完全不同, 但从学生接受情况来看, 不管是本科的学生, 还是高职的学生, 不同的个体是不一样的。刚踏进数字电子技术的学生, 必须弄清楚数字电路的一些基本逻辑关系。从学习情境“信号灯的逻辑控制的验证”着手, 学生完全可以从情境中理解逻辑事件、逻辑控制概念, 领会生活中的逻辑关系和数字电路中的逻辑表达方法和意义, 很自然地过渡到数字电路这门课的“氛围”中, 踏入数字电子技术这个门槛。至于后面要学习的逻辑函数的化简, 类似高中数理题, 教师稍加引导学生即可学会。而按照以前以“漫灌”的教法, 教师教得无奈, 学生又听不懂, 教与学就断了层, 究其原因, 主要是学生还没有适应数字电路这门课的“氛围”, 教师以为很简单, 但学生却很难接受。

学习情境2:简单抢答器的电路设计。

本情境的主要目的是为了让学生了解集成逻辑门电路的结构特点。因为学生初次接触集成芯片和实物, 所以老师在这一环节要花一点的时间, 给学生有认识新事物的过程。学生应仔细体会由基本门电路构成复杂逻辑电路的基本方法;学会集成门电路的使用与测试方法, 进一步建立组合逻辑电路的基本概念。本情境主要涉及到逻辑门电路和门电路综合应用, 特别是一般门应用电路的设计。虽然在以往课堂上教师对设计步骤的分析和实训的真实步骤相类似, 且教师在课堂上也一直在强调设计步骤的重要性, 但学生还是不能学好这门课。而在简单抢答器的电路设计中, 学生就很容易掌握到这些要领。值得一提的是:通过软件仿真, 很容易得到所要的结果, 但通过数字实验箱的实验, 如果是用引线连接起来的电路, 会出现许许多多的故障, 这就给了学生学习排除故障技能的机会。而要排除故障, 并不是简单地按一下和摸一下, 虽然按一下和摸一下有时也会有效果, 但那是盲目的, 其成功率并不高。如果清楚芯片结构、理解电路的原理、熟悉信号的通路, 那排除故障就能够得心应手, 教师要点出掌握原理的重要性, 学生就很愿意采纳和接受了, 同时这也能培养学生对类似技能学习的兴趣, 解除高职电子通信类学生对设备维修的恐惧感。

学习情境3:用触发器做成抢答器电路设计。

本情境的主要目的是让学生初步了解触发器的作用;了解触发器具有接收、保持、输出功能电路的基本分析与测试方法。本学习情境主要涉及数字电子技术时序逻辑组合电路, 情境的设计必须考虑到大纲主要内容, 而没有涉及到的内容, 可以通过其它方式, 传授给学生, 以达到知识的系统性和完整性。

例如, 本章的学习情境只是基本RS触发器的应用, 而JK触发器、555定时器都没有涉及到, 其实, 以上触发器都是基本RS触发器的延伸, 搞清楚基本RS触发器后, 其它的也就好办了。

学习情境4:编/译码及数码显示的设计。

本情境的主要目的是让学生了解编码器、译码器的作用和数码管LED的用法;熟悉74LS147、74LS48/74LS47和数码管各管脚功能;进一步掌握数字电路逻辑关系的检测方法。学习情境设置有并列关系、递进关系和包含关系 (图1) 。编/译码及数码显示的设计学习情境和前面的学习情境1、2、3有递进关系, 而且还有包含关系。其实不管是编码器或译码器, 都是在前面基础上的延伸和递进, 从芯片的复杂度, 还是知识结构来说都符合这种关系, 数码管是另外补充的。

学习情境5:计数显示器的实训。

本情境的主要目的是让学生了解计数器的逻辑功能;熟悉74LS161各管脚功能;学会使用计数器;再次熟悉译码显示电路的使用。计数器的这一情境有两个问题需要解决:一是不同芯片功能和外部引脚的处理;二是不同模的计数器设计方法。上面两个问题的有效解决方法是先仿真。利用仿真可以把在课堂很难说得清的问题, 剖析得淋漓精致, 然后去实验室亲手接触实物, 效果更好。

学习情境6:寄存器74LS194功能验证。

本情境的主要目的是为了让学生了解寄存器的基本功能;学会使用74LS194寄存器。本情境和学习情境5有点类似, 但原理不同, 如同样可以以计数器为例, 一般计数器有顺序或逆序的, 而移位寄存器是无序的, 根据反馈方式不同有不同组合序列。在这个学习情境中实训环节, 虽然方法比较简单, 却能清楚地说明问题, 学生的兴趣也很高, 而且有很多的模式供学生去思考和设计, 提高学生的设计能力。

学习情境7:存储器EPROM的。

本情境的主要目的是让学生掌握存储芯片中数据的存储过程, 即写入和读出过程;理解由74LS161的作用引入地址的产生和地址与存储单元的关系;了解讨论如何将单元内容取出, 引入地址线与数据线的关系;学会计算地址与单元个数, 即容量的关系, 根据地址线的数量来确定存储器的容量;学会把数据写入各单元中, 引入多功能编程器的使用方法, 完成编程与调试, 自然而然过渡到以后的单片机的学习。本情境以“霓虹灯“的控制过程中如何实现控制模式的变换为例, 引入存储器的应用, 不但把存储器的一些基本功能解剖的非常清楚, 而且存储器控制的“霓虹灯”的样式复杂而灵活, 为学生提供了自由发挥的机会。本节内容, 以往学生学得很吃力, 而利用学习情境进行教学, 学生很容易接受。

学习情境8:A/D、D/A转换的实训。

本情境的主要目的让学生熟悉DAC0832芯片各使能端 (控制端) 的作用和使用。数字化的今天, A/D、D/A转换是非常重要的知识点, 要结合数字电子技术的相关知识, 利用仿真和实操的方法, 这部分知识在以前课堂上很难教与学, 而采取情境教学就可以直观地传授给学生。

学习情境9:数字钟的设计。

数字钟的设计的学习情境是综合性的实训, 需要较多的课时, 一般安排一周28学时, 通过数字钟的设计, 不但可以把前面所学知识进一步巩固, 而且可以利用数字电子技术的综合知识, 设计生活中实实在在的实物, 学生会感到很有意义, 兴趣也很高。这样的综合实训, 从最简单的门电路, 到信号发生器、计数器、显示器等, 把整个课程形成一个有机的整体, 达到知识结构的系统化, 而从设计、仿真、元件的选择、实物的制作与调试, 最后产品说明书的撰写和实训的总结, 整个过程和企业产品研发到产品出厂的程序相一致, 也就是当今所谓的学习领域教学模式——以一个职业的典型工作任务为基础的专业教学。

三、总结

教师利用学习情境进行教学, 可以激发学生的学习兴趣。苏霍姆林斯基说过:“所有智力方面的工作都要依赖于兴趣”。爱因斯坦也曾说:“兴趣是最好的老师”。高职学生普遍很难接受高深的理论知识, 而基于工作过程的的学习与实践, 往往可以避开其短处。实践证明, 学生在工作过程中遇到问题, 会主动去查书、去问老师, 学习从被动变为主动, 这是非常难得的, 是我们追求的目标, 它使教与学的过程发生了质的变化。

当今竞争激烈的就业形势下, 高职学生除了要具备扎实的专业基础知识和熟练的操作技能外, 还要有团队合作精神。设立学习情境教学, 可以组织学生进行合作学习。合作学习的目的是切磋学问, 砥砺思想, 共同完成学习任务。三个臭皮匠赛过诸葛亮, 学习情境教学可以把一个教师变成全班都是“教师”, 当学生碰到问题后, 教师要给学生作出具体分析, 帮助学生解决问题。

总之, 孔子有句名言:“给我讲, 我会忘记;给我看, 我会记住;让我做, 我会理解。”这是人类认知的规律, “学习情境”的教学模式正是遵循了这种认知规律。

参考文献

[1]闫宁.关于高职教育体验式情境课程的教学研究[J].职业教育研究, 2008 (5) .

[2]高林, 鲍洁.高等职业教育专业课程体系改革与创新[M].北京:人民邮电出版社, 2009.

[3]姜大源.教育层次提升与教育内容扩展[J].北京联合大学学报, 2004, 18 (1) :l5.

[4]刘守义.数字电子技术[M].西安:西安电子科技大学出版社, 2004 (1) .

篇4:课程设计数字电子钟

【关键词】数字电子技术 教学设计 探讨

0引言

教学设计也称教学系统设计。它是运用系统方法分析教学问题和确定教学目标,建立解决教学问题的策略方案、试行解决方案、评价试行结果和对方案进行修改的过程。教学系统是由教师、学生、教学条件三个基本要素构成的,因此教学设计是一个系统化的过程,包括如何定位教学目标、如何进行任务分析、如何制定教学策略和正确选择教学媒体、如何编制教学评价标准等。具体来讲它主要包括教学内容、教学方法、教学策略、教学模式、教学媒体、教学评价等内容。传统的教学设计通常注重于教学过程的设计,具体体现为以教师为中心的教育模式,知识的传输方式是“教师→学生”的单向传递方式,学生是被动的知识接受者,称为“以教学过程为中心的教学系统设计。”而现代教学设计吸收了先进的教育教学理念,教学过程围绕各个实际问题展开,这些问题可以由教师提出,也可以由学生提出,学生主动参与教学过程的各个环节,体现为既发挥教师主导作用又充分体现学生认知主体作用的“主导—主体”教育模式,既注意教又注重学,称为“以教学问题为中心的教学系统设计”。如何把现代教学设计的思想应用到《数字电子技术》课程教学中,笔者做了初步的探索和尝试。旨在抛砖引玉,相互启发。

1.从课程的教学目标出发,选择教学内容,把握理论上的度。

《数字电子技术》是应用电子技术、信息工程、电子设备运行与管理等电子类专业的主干技术基础课程,其教学目标是通过本课程的学习使学生掌握数字电子技术的基础知识、基本理论、基本分析和设计方法,训练学生数字应用电路制作与调试的基本技能;培养学生严谨的科学态度、科学思维方式以及创新意识和创新能力。为学习后续课程提供必要的理论基础知识和实践技能,为今后可能从事的职业打好基础。因此,基于本课程的教学目标和中职教育的培养目标,我们在教学内容的选择上突出了基本理论,基本分析方法和知识的应用,回避了繁锁的集成电路内部分析和数学推导。着重外部逻辑功能的描述、分析和应用。强调外特性和主要参数。如在逻辑门电路一章中,改变了以74标准系列门作为典型电路分析逻辑功能和电气特性的传统,改用实际工作中运用的较多的CT74S系列门作为典型电路进行分析,进而介绍了CT74LS系列,还对TTL集成门电路各系列的主要电气参数进行了比较,使学生对各系列TTL集成门电路的特性都有一定了解。同时,还将TTL系列和CMOS4000系列门电路的主要电气参数进行了对比,使学生能根据实际工作要求正确选用数字集成电路。在时序逻辑电路一章中,在介绍计数器、寄存器和移位寄存器基本电路工作原理的基础上,直接介绍中规模集成计数器、移位寄存器功能表和使用。而没有讨论它们的内部逻辑电路。对于组合逻辑电路等中规模集成电路也采用了类似的处理方法。这不断突出了中规模集成电路的应用,同时也为增加技能训练时间创造了有利条件。

2.从培养能力出发,将理论教学与实践教学融为一体

由于《数字电子技术》是一门应用性很强的技术基础课,其基本理论与实践技能是许多后续课程的基础,理论与实践的密切结合,在本门课程中显得尤为重要。因此,我们在各章都设置了相应的实践训练环节——技能训练。它包括基本性技能训练和设计性技能训练两部分。“基本性技能训练”所涉及的内容与课堂教学内容紧密相关,充分体现课程的实践性。“设计性技能训练”是根据给出的实际问题,由学生自己设计实现逻辑功能的电路、选用芯片、进行安装调试、排除故障。同时还设置了理论与实践综合课程——课程设计内容,将理论教学与实践教学紧密结合。通过理论课程的学习和实训课程的实践,使学生基本掌握电子技术基础知识和基本技能,再通过相应的课程设计将理论用于实践,将设计和实现融为一体,使学生在课程设计中即能提高运用所学知识进行设计的能力,又能在这一过程中体会到理论设计与实际实现中的距离。从工程角度出发培养学生的工程思维方法、工作方法和应用所学知识解决实际问题的能力。使能力培养贯穿于教学的全过程。

为了培养学生分析和排队故障的能力,在教学内容提要的安排上,还先后介绍了《技能训练中故障的检查与排除》和《数字系统一般故障的检查和排除》等内容。使学生得到较为系统的故障诊断与排除的训练,从而提高学生解决实际问题的能力。

通过以上几种形式对教学内容的组合整编,使学生易学易懂,教师便于组织教学,有利于激发学生的学习积极性,培养学生的工程观念,训练学生工程实用技能。

3.结束语

从现代教学设计理念出发,我们对《数字电子技术》课程的教学内容,教学方法和教学媒体的设计进行了探索,积累了一些实践经验,也取得了一些成效。但是,探索和尝试是初步的,教学设计还有一些方面我们还没有涉猎到,通过教学设计如何来解决《数字电子技术》课程与工程实际相脱节,与职业资格证书考试相脱节的问题,我们也未涉及到。上述未解决的问题,我们期待与大家共同一道探索。

【参考文献】

[1]. 黄荣怀 宋文官 编《架设桥梁》高等职业教育现代教育技术的应用 [M]北京高等教育出版社 2005.1 11

[2]. 梁俊 编 《关于中职教育应用型人才培养的思考》[J]四川技术学院学报2005.2第1期 97

篇5:数电课程设计数字电子钟报告

数字电子技术课程设计报告

题目:

时间:

院校:

班级: 组员:

数字钟的设计与制作 09-10学年 武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

数字电子技术课程设计报告

一. 设计目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。

因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法.二.实现功能

1.要求内容

1)时以24为周期 2)分和秒以60为周期 3)能显示时、分、秒

4)具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间

2.发挥内容

1)星期的显示

2)计时过程具有报时功能

三.元器件

1.洞洞板2块

2.0.47uF电容1个 3.100nF电容1个

4.共阴八段数码管7个 5.网络线10米

6.CD4511集成块7块 7.CD4060集成块1块 8.74HC390集成块4块 9.74HC51集成块1块

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

10.74HC00集成块4块 11.74HC30集成块1块 12.10MΩ电阻5个 13.74HC00集成块4块 14.L7805三端稳压管1个 15.30pF瓷片电容2个 16.9V电池1块

17.单刀双掷开关2个 18.单刀单置开关1个 19.74HC10集成块1块

各个芯片引脚图 1. CD74HC390

2.L7805稳压管

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

3. CD4060

4. CD4511

5.74HC10

6.74HC30

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

7.74HC51

8.74HC00

四、原理框图

1.数字钟的构成

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。

(a)数字钟组成框图

2. 晶体振荡与分频电路

(b)晶体振荡器

晶体振荡器电路给数字钟提供一个频率稳定准确的32.768KHz的方波信号,可保证数字

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。一般输出为方波的数字式晶体振荡器电路通常有两类,一类是用TTL门电路构成;另一类是通过CMOS非门构成的电路,本次设计采用了后一种。如图(b)所示,无源晶震、电容和电阻构成晶体振荡器电路,CD4060实现分频。值得注意的是无源晶振是没有极性的,与电容C1、C2与晶体构成一个谐振型网络,完成对振荡频率的控制功能,实现了振荡器的功能。由于晶体具有较高的频率稳定性及准确性,从而保证了输出频率的稳定和准确

晶体XTAL的频率选为32.768KHZ.该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数.从有关手册中,可查得C1,C2均为30pF.当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施.由于CMOS电路的输入阻抗极高,因此反馈电阻R可选为10MΩ.较高的反馈电阻有利于提高振荡频率的稳定性.2HZ

1HZ

(c)二分频

通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现.例如,32768Hz的振荡信号分频为1HZ的分频倍数为32768(215),即实现该分频功能的计数器相当于15极2进制计数器.常用的2进制计数器有74HC393等.本实验中采用CD4060来构成分频电路.CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便.CD4060计数为14级2进制计数器,可以将32768HZ的信号分频为2HZ。再通过二进制计数器,将2Hz信号转化为1HZ,作为秒输入信号。

3. 时间计数电路

一般采用10进制计数器如74HC390等来实现时间计数单元的计数功能。由其内部逻辑框图可知,其为双2-5-10异步计数器,下降沿触发,并每一计数器均有一个异步清零端(高电平有效)。秒个位计数单元为10进制计数器,无需进制转换,只需将QA与CPB(下降沿有效)相连即可。CPA与1HZ秒输入信号相连,QD可作为向上的进位信号与十位计数

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

单元的CPB相连。

秒十位计数单元为6进制计数器,需要进制转换。将10进制计数器转换为6进制计数器的电路连接方法如图 2.4所示,其中Q2可作为向上的进位信号与分个位的计数单元的CPA相连。

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同,只不过分个位计数单元的Q3作为向上的进位信号应与分十位计数单元的CPA相连,分十位计数单元的Q2作为向上的进位信号应与时个位计数单元的CPA相连。

时个位计数单元电路结构仍与秒或个位计数单元相同,但是要求,整个时计数单元应为12进制计数器,不是10的整数倍,因此需将个位和十位计数单元合并为一个整体才能进行12进制转换。利用1片74HC390实现12进制计数功能的电路如图(d)所示。

六进制电路

由74HC390、7400、数码管与4511组成,电路如图一。

U1A3123U2A12Com74HC00D74HC00DU5SEVEN_SEG_COM_KABCDEFGU3AV1 32Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U413DADBDCDD5OAOBOCODOE1211109151474HC390D43~ELOF~BIOG~LTVCC5V4511BD将十进制计数器转换为六进制的连接方法

十进制电路

由74HC390、7400、数码管与4511组成,电路如图二。

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

U4A3126U4B4574HC00D74HC00DComU3SEVEN_SEG_COM_KU1AV1 60Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U213DADBDCDD5OAOBOCODOE12111091514ABCDEFGVCC5V74HC390D43~ELOF~BIOG~LT4511BD十进制接法测试仿真电路六十进制电路

由两个数码管、两4511、一个74HC390与一个7400芯片组成,电路如图三。

双六十进制电路

由2个六十进制连接而成,把分个位的输入信号与秒十位的Qc相连,使其产生进位,电路

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

图如图四。

ComComSEVEN_SEG_COM_KU1B6453U1A12U4SEVEN_SEG_COM_KU7U11BABCDEFG64513DADBDCDD5OAOBOCODOE~ELOF~BI~LTOG1211109151421CLR141INA1INB3U10A12ABCDEFG74HC00D74HC00DU3B15122INA2INB142CLR132QA2QB2QC2QD11109U2712674HC00D74HC00DU8A31QA1QB1QC1QD5677126U913DADBDCDD5OAOBOCODOE12111091514VCC5V74HC390D43U1C891011U1D12134511BD74HC390DComVCCU643~ELOF~BI~LTOG5VSEVEN_SEG_COM_K74HC00D74HC00DABCDEFG84511BDComU15C91011U16DSEVEN_SEG_COM_K1213U14U3A131INA1INB21CLR1QA1QB1QC1QD5677126U513DADBDCDD5OAOBOCODOE1211109151474HC00D74HC00DU12B15122INA2INB142CLR132QA2QB2QC2QD111097126U13DADBDCDD5OAOBOCODOEABCDEFG***14V1 100kHz 5V474HC390D43~ELOF~BI~LTOGVCC74HC390D5V43~ELOF~BI~LTOG4511BD4511BD

二十四进制

星期

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

由1个十二进制电路、2个六十进制电路组成,因上面已有一个双六十电路,只要把它与十二进制电路相连即可。

4.译码驱动及显示单元电路

选择CD4511作为显示译码电路;选择LED数码管作为显示单元电路。由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。这里的LED数码管是采用共阴的方法连接的。

计数器实现了对时间的累计并以8421BCD码的形式输送到CD4511芯片,再由4511芯片把BCD码转变为十进制数码送到数码管中显示出来。

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

5.校时电路

由74CH51D、74HC00D与电阻组成,校正电路有分校正和时校正两部分。

IO1VCC正常输入信号5V校正信号R1IO2U2C9108小时校正电路J110Mohm74HC00D注意:分校时时,不会进位到小时。U11111213910U2DKey = A12R210MohmIO313U2A8123时计数器IO574HC00D1123674HC00D正常输入信号校正信号R3U3A10Mohm12U2B456分计数器IO6IO44574HC00D74HC51D3J274HC00DKey = B分钟校正电路分校正时锁定小时信号输入R410MohmU3B456图中采用基本RS触发器构成开关消抖动电路,其中与非门选用74HC00;对J1和J2,因为校正信号与0相与为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态,当开关打向上时,情况正好与上述相反,这时电路处于校时状态。74HC00D数字钟设计-校时电路部分

数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。即为用COMS与或非门实现的时或分校时电路,In1端与低位的进位信号相连;In2端与校正信号相连,校正信号可直接取自分频器产生的1HZ或2HZ(不可太高或太低)信号;输出端则与分或时个位计时输入端相连。当开关打向下时,因为校正信号和0相与的输出为0,而开关的另一端接高电平,正常输入信号可以顺利通过与或门,故校时电路处于正常计时状态;当开关打向上时,情况正好与上述相反,这时校时电路处于校时状态。

实际使用时,因为电路开关存在抖动问题,所以一般会接一个RS触发器构成开关消抖动电路,所以整个较时电路就如图。

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

带有消抖电路的校正电路

6.整点报时电路

在59分51秒、53秒、55秒、57秒、59秒的时候,蜂鸣器报时

五、总接线元件布局简图

整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。

其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。

电路的信号输入由晶振电路产生,并输入各电路。

七、芯片连接总图

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

接线图

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

八、总结

1. 实验过程中遇到的问题及解决方法

a、测试过程中有1七段显示器不能正常工作

首先通过万用表检测各接线是否正确,是否出现了短路或者虚焊的情况,最后证明接线并美誉什么问题,最后我们通过并联另一显示器的方法检测出此显示器已损坏,然后就换了一个好的显示器。

b、各段电路的测试方法

我们首先在面包板上把电源的发生和频率的发生电路全部连接好,并检测其正常工作,然后每接好一部分电路就用其检测,没问题后再进行下一步的工作。

c、最后把电路全部接好后让数字钟走了一天后,数字钟出现数字显示不稳定和不能正常工作的情况

因为在数字钟正常工作的时候我们并没有去碰它,所以并不可能出现部分线断掉或者短路的情况,最后我们把主要检测重点就放在了对电池电压的检测和对各集成块的检测上面,最后检测出三端稳压管的输出电压只有3V,低于正常输出的5V电压,然后我们再对电池的输入电压进行检测,输入电压为8V,满足三端稳压管的输入条件,至此可以判断是三端稳压管出现的问题(可能由于工作时间过长而烧掉)。换上一新三端稳压管后,电路又恢复正常工作。

2. 设计体会

通过这次对数字钟的设计与制作,让我们了解了设计电路的程序,也让我们了解了关于数字钟的原理与设计理念,要设计一个电路总要先用仿真仿真成功之后才实际接线的。但是

武汉纺织大学机电工程学院测控技术与仪器专业《数字电子技术》课程设计报告

最后的成品却不一定与仿真时完全一样,因为,再实际接线中有着各种各样的条件制约着。而且,在仿真中无法成功的电路接法,在实际中因为芯片本身的特性而能够成功。所以,在设计时应考虑两者的差异,从中找出最适合的设计方法。

通过这次学习让我们各个芯片能够完成什么样的功能,使用芯片时应该注意哪些要点。同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。另外,我们设计要从市场需求出发,既要有强大的功能,又要在价格方面比同等档次的便宜。

通过这次学习,让我们对各种电路都有了大概的了解,所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。

3. 对设计的建议

希望在我们动手制作之前,老师能够多给点集成块让我们选择,同一功能但是可以用不同的片子去实现其功能。另外在提供片子的时候应该准备好有多余的片子,因为我们谁也不能保证每一个片子都能够正常工作。

4. 未解决的问题

a用74HC390D的片子作为十进制时为什么也需要置零?

因为74HC390D本身就是十进制的片子,但当我们在试验箱上测试其功能时,当我们在十进制的情况下不置零,此时显示器上的数字就出现不稳定的情况,并且也不会按正常的加法去计数,当接了置零后,显示器就正常工作了。

b、24进制时其各位为什么不需要1010的置零输入?

篇6:数字电子时钟课程设计2

题目:

数字电子时钟课程设计

目录

一、设计任务及设计要求…………………………………………(3)

二、设计方案论证

…………………………..………….(3)1.总体方案及框图 2.各部分论证

三、单元电路设计…………………………………………………(4)1.振荡器 ………………………………………………………(4)2.秒、分、时计数器…………………………………………(5)

3.显示译码/驱动器和LED七段数码显示管……………….(6)

4.分频器……………………………………………………(7)5.报时电路…………………………………………………(9)

四、总体电路设计及原理………………………………………(13)

五、元器件明细表………………………………………………(10)

六、心得体会……………………………………………………(11)

七、参考文献……………………………………………………(11)

一、设计任务及设计要求 1.设计任务

数字电子钟的逻辑电路 2.设计要求

(1)由晶振电路产生1HZ的校准秒信号。

(2)设计一个有“时”、“分”、“秒”(23小时59分59秒)显示切且具有校时、校分、校秒的功。

(3)整点报时功能。要求整点差10秒开始每隔1秒鸣叫一次,共五次,每次持续时间为一秒,前五次为500赫兹的声音,最后依次为1000赫兹的声音。(4)用中小规模集成电路组成电子钟,并在实验箱上进行组装和调试。(5)划出框图和逻辑电路图,写出设计,实验总结报告。

二、设计方案论证

数字钟原理框图如图1所示,电路一般包括以下几个部分:振荡器、分频器、译码显示电路、时分秒计数器、校时电路、报时电路。

图一

对于各个部分而言

数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。

数字钟计时周期是24小时,因此必须设置24小时计数器,他应由模为60的秒计数器和分计数器及模为24的时计数器组成,秒、分、时由七段数码管显示。

为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中采用开关控制校时直接用秒脉冲先后对“时”“分”“秒”计数器进行校时操作。

能进行整点报时。在从59分50秒开始,每隔2秒钟发出一次低音“嘟”的信号,连续五次,最后一次要求最高音“嘀”的信号,此信号结束即达到正点。

三、单元电路设计 1.各独立功能部件的设计(1)、振荡器 振荡器是计时器的核心,其作用是产生一个标准频率的脉冲信号振荡频率的精度和 稳定度决定了数字钟的质量。第一种方 案采用石英晶体振荡器,如图二。使用 振荡频率为32768HZ的石英晶体和反 向器构成一个稳定性极好、精度较高 的时间信号源。改变电容C可以

图 二

石英晶体振荡器

振荡器的频率进行微调,再通过一个反相器,输出32768HZ的方波将此方波的频率进行15次二分频后,在输出端刚好可得到频率为1HZ的脉冲信号。

第二种方案如图三采用集成电路555定时器与RC组成的多谐振荡器。输出的脉冲频率为fS=1/[(R1+2R2)C1ln2]=1KHZ,周期T=1/fS=1ms。若参数选择:R1=R2=10K欧姆,C1=47uF时,可以得到秒脉冲信号。

图三 方波信号发生器

附555定时器的功能表 输

出 输

阀值输入(v11)触发输入(v12)复位(RD)输出(VO)发电管T × × 0 0 导通

<2/3VCC <1/3VCC 1 1 截止 >2/3VCC >1/3VCC 1 0 导通 <2/3VCC >1/3VCC 1 不变 不变

(2)秒、分、时计数器

U1到U6 六个74LS161构成数字钟的秒、分、时计数器。

U1、U2共同构成秒计数器,它由两个74LS161构成六--十进制的计数器,如图四。U1作为秒个位十进制计数器,它的复位输入RD、和置位输入LD都接低电平,秒信号脉冲作为计数脉冲输入到CP1端,输出端C控制U2秒十位计数器的计数脉冲输入。Q1、Q2、Q3、Q4作为秒个位的计时值送至秒个位七段显示译码/驱动器。

U2作为秒十位六进制计数器,它的计数脉冲输入受到秒个位U1的控制,其计数器使能端EP、ET与U1的输出端C相连接。当U2计数器计到0011,即清零信号到复位输入端时,Q1、Q2、Q3、Q4输出的都是零。Q1、Q2、Q3、Q4作为秒十位的计时值送至秒十位七段显示译码/驱动器。U3、U4分别构成分个位十进制和分十位六进制计数器,如图四。U3、U4与U1、U2的连接方法相似。当计数器输出为01011001状态,U3(U1)、U4(U2)的LD端同时为“0”,使计数器立即返回到00000000状态。这样就构成了六十进制计数器。

图四 六十进制计数器

U5、U6共同构成时计数器,它由两个74LS161构成六十进制的计数器

如图五。U5作为时十位计数器,它的复位输入RD、和置位输入LD都接低电平,时信号脉冲作为计数脉冲输入到CP1端,输出端C控制U6秒十位计数器的计数脉冲输入。Q1、Q2、Q3、Q4作为秒个位的计时值送至秒个位七段显示译码/驱动器。当计数器输出为00100100状态,U5、U6的LD端同时为“0”,使计数器立即返回到00000000状态。这样就构成了二十四进制计数器。

U12

图五

二十四进制计数器

(3)显示译码/驱动器和LED七段数码显示管

六个74LS248集成电路构成数字钟的七段数码显示管显示译码/驱动器。74LS248七段显示译码器输出高电平有效,将8421BCD码译成七段(a、b、c、d、e、f、g)输出,用以直接驱动LED七段数码显示对应的十进制数。74LS248的显示功能:

显示功能见功能表的上半部分。[DCBA]是二进制码输入,要正确的执行显示功能,有关的功能端必须接合适的逻辑电平,这些功能端的作用随后介绍。对于0~9输入,[DCBA]相当BCD8421码。当超过9以后,译码器仍然有字型输出,具体见图六。当[DCBA]=1111时,数码管熄灭。实验时要在笔划段电极串联电阻,以保护LED数码管。表1 中规模显示译码器74LS248的功能表 十进制

或功能 输

D

C

B

A

a

b

c

d

e

f

g 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 H H H H H H H H H H H H H H H H H ´ ´ ´ ´ ´ ´ ´ ´ ´ ´ ´ ´ ´

´ L

L L

L L

L L

L L

H L

L L

H H

L H

H L

L

L

H

L

H L

H

H

L L

H

H

H H H H H H H H H H H H H H H H H

H

H

H

H

H

H

L

L

H

H

L

L

L

L

H

H

L

H

H

L

H

H

H

H

H

L

L

H

L

H

H

L

L

H

H

H

L

H

H

L

H

H

H

L

H

H

H

H

H

H

H

H

L

L

L

L

图六 74LS248显示字型与输入的对应关系

如图七,六个LED七段数码显示管利用不同发光段组合的方式显示不同数码,都采用+5V电源作为每段发光二极管的驱动电源。需要发光的段为高电平,不发光的段为低电平。设计中采用共阴极数码管,每段发光二极管的正向降压,随显示光的颜色有所不同,通常约2V~3V,点亮电流在5~10mA。六个LED七段数码显示管分别显示秒个位、十位;分个位、十位;时个位、十位的计数十进制数

图七 显示译码/驱动器和数码显示管(4)分频器

分频器电路是由三个74LS90构成,如图八。74LS90是异步十进制计数器,它由一个一位二进制计数器和一个异步五进制计数器组成。将QA与CP2相连,计数脉冲由CP1端输入,输出由QA~QD引出,即得到十进制计数器。只有在复位输入R0(1)= R0(2)=0和置位输入S9(1)= S9(2)=0时,才能够在计数脉冲(下降沿)作用下实现二—五—十进制加计算。因为要对输入的脉冲进行三次10分频,三片74LS90的复位输入R0(1)、R0(2)和置位输入S9(1)、S9(2)都接低电平。振荡器输出的方波脉冲计数器作为U1的CP1端的输入时钟脉冲,U1的QD端的输出脉冲作为U2的CPA端的输入时钟脉冲,U2的QD端的输出脉冲作为U3的CP1端的输入时钟脉冲,U3的QD端的输出脉冲fO=fS/103¬¬¬¬¬¬¬=1HZ,即为秒信号方波脉冲,成为秒、分、时计数器的计数脉冲和时间校准信号。

将JK触发器的J、K端都接在高电平,Qn+1=JQn+KQn=Qn,每输入一个时钟脉冲后,触发器翻转一次,触发器处于计数状态。经过触发器的二分频,Q端输出为500HZ的脉冲作为低音脉冲。

经过U1、U2计数器的二次十分频,输出的脉冲频率为10HZ,作为秒校时脉冲。

图八

分频器 附74LS90二—五—十进制计数器功能图 复位输入 置位输入 输出

R0(1)R0(2)S9(1)S9(2)QA QB QC QD H H L × L L L L H H × L L L L L × × H H H L L H L × L × 计数 L × × L 计数 × L L × 计数 × L × L 计数

JK触发器的功能表 J K Qn Qn+1 说明 0 0 0 0 输出状态不变 1

0 1 0 0 输出状态与J端状态相同

0 0 0 1 输出状态与K端状态相同

1 1 0 1 每输入一个脉冲输出状态改变一次

0

(五)报时电路

整点报时电路要求在每个整点发出音响,因此需要对每个整点进行时间译码,以其输出驱动音响控制电路。如图九。

若要在每一整点发出五低音、一高音报时,需要对59分50秒到59分59秒进行时间译码。QD4~QA4是分十位输出,QD3~QA3是分个位输出,QD2~QA2是秒十位输出,QD1~QA1秒个位输出。在59分时,A= QC4 QA4 QD3 QA3=1;在50秒时,B= QC2 QA2=1;秒个位为0、2、4、6、8秒时,QA1=0,C= QA1=1;因而F1=ABC= QC4QA4 QD3 QA3 QC2 QA2 QA1仅在59分50秒、52秒、54秒、56秒、58秒时等于1,故可以用F1作低音的控制信号。当计数器每计到59分59秒时,A= QC4 QA4 QD3QA3=1,D= QC2 QA2 QD1 QA1=1,此时F2=AD=1。把F2接至JK触发器控制端J端,CP端加秒脉冲,则再计1秒到达整点时F3=1,故可用F3作一次高音控制信号。

用F1控制5次低音、F3控制高音,经音响放大器放大,每当“分”和“秒”计数器累计到59分50、52、54、56、58秒发出频率为500HZ的五次低音,0分0秒时发出频率为1000HZ的一次高音,每次音响的时间均为一秒钟,实现了整点报时的功能。

图九

整点报时电路

四、原理图(见最后一页)

五、元器件明细表

序号 元器件名称 型号规格 数量(个)备注 U0 集成定时器 5G555定时器 1 构成多谐振荡器 U1~U6 同步加法计数器 74161 6 构成模加法计数器 U7~U9 异步十进制计数器 74LS90 3 构成分频器

U10 七端显示译码器 74LS248 6 分别显示秒、分、时的数字 U11~U12 与非门 多输入与非门 2 U13 J-K触发器

C1、C2 电容C1=C2=104pf R1 R2 电阻R1 =2K、R2=5.1K R、R` 电阻R=1k,R`=47 U14 U20 门器件 非门 1

U15~U19 门器件 与门 6 多输入与门 U21~U23 门器件 与非门 3 多输入与非门 U24 触发器 J-K触发器 1 U25 晶体三级管 U26 喇叭实现闹铃

六、设计体会

在整个课程设计完后,总的感觉是:有收获。以前上课都是上一些最基本的东西,而现在却可以将以前学的东西作出有实际价值的东西。在这个过程中,我的确学得到很多在书本上学不到的东西,如:如何利用现有的元件组装得到设计要求,如何找到错误的原因,如何利用计算机来画图等等。但也遇到了不少的挫折,有时遇到了一个错误怎么找也找不到原因所在,找了老半天结果却是芯片的管脚接错了,有时更是忘接电源了。在学习中的小问题在课堂上不可能犯,在动手的过程中却很有可能犯。特别是在接电路时,一不小心就会犯错,而且很不容易检查出来。但现在回过头来看,还是挺有成就感的。

七、参考文献

姚福安.电子电路设计与实践.山东科学技术出版社第一版.2002 杨志亮.电路原理图设计技术.西北工业大学出版社第一版.2003 阎石.数字电子技术基础..高等教育出版社第四版.1998 童诗白.模拟电子技术基础.高等教育出版社第三版.2001 康华光.电子技术基础.高等教育出版社.2002 苏止丽.数字电子电路实验.武汉理工大学.2003 陈明义.电子技术课程设计使用教程 中南大学出版社第一版.2002

回答者: 命途多舛0913-一

2008-1-5 21:54

数字电子时钟课程设计

题目: 数字电子时钟课程设计

目 录

一、设计任务及设计要求…………………………………………(3)

二、设计方案论证 …………………………..………….(3)

1.总体方案及框图

2.各部分论证

三、单元电路设计…………………………………………………(4)

1.振荡器 ………………………………………………………(4)

2.秒、分、时计数器…………………………………………(5)

3.显示译码/驱动器和LED七段数码显示管……………….(6)

4.分频器……………………………………………………(7)

5.报时电路…………………………………………………(9)

四、总体电路设计及原理………………………………………(13)

五、元器件明细表………………………………………………(10)

六、心得体会……………………………………………………(11)

七、参考文献……………………………………………………(11)

一、设计任务及设计要求

1.设计任务

数字电子钟的逻辑电路

2.设计要求

(1)由晶振电路产生1HZ的校准秒信号。

(2)设计一个有“时”、“分”、“秒”(23小时59分59秒)显示切且具有校时、校分、校秒的功。

(3)整点报时功能。要求整点差10秒开始每隔1秒鸣叫一次,共五次,每次持续时间为一秒,前五次为500赫兹的声音,最后依次为1000赫兹的声音。

(4)用中小规模集成电路组成电子钟,并在实验箱上进行组装和调试。

(5)划出框图和逻辑电路图,写出设计,实验总结报告。

二、设计方案论证

数字钟原理框图如图1所示,电路一般包括以下几个部分:振荡器、分频器、译码显示电路、时分秒计数器、校时电路、报时电路。

图一

对于各个部分而言

数字钟计时的标准信号应该是频率相当稳定的1HZ秒脉冲,所以要设置标准时间源。

数字钟计时周期是24小时,因此必须设置24小时计数器,他应由模为60的秒计数器和分计数器及模为24的时计数器组成,秒、分、时由七段数码管显示。

为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中采用开关控制校时直接用秒脉冲先后对“时”“分”“秒”计数器进行校时操作。 能进行整点报时。在从59分50秒开始,每隔2秒钟发出一次低音“嘟”的信号,连续五次,最后一次要求最高音“嘀”的信号,此信号结束即达到正点。

三、单元电路设计

1.各独立功能部件的设计

(1)、振荡器

振荡器是计时器的核心,其作用是产生一个标准频率的脉冲信号振荡频率的精度和

稳定度决定了数字钟的质量。第一种方

案采用石英晶体振荡器,如图二。使用

振荡频率为32768HZ的石英晶体和反

向器构成一个稳定性极好、精度较高的时间信号源。改变电容C可以

图 二 石英晶体振荡器

振荡器的频率进行微调,再通过一个反相器,输出32768HZ的方波将此方波的频率进行15次二分频后,在输出端刚好可得到频率为1HZ的脉冲信号。

第二种方案如图三采用集成电路555定时器与RC组成的多谐振荡器。输出的脉冲频率为fS=1/[(R1+2R2)C1ln2]=1KHZ,周期T=1/fS=1ms。若参数选择:R1=R2=10K欧姆,C1=47uF时,可以得到秒脉冲信号。

图三 方波信号发生器

附555定时器的功能表

输 出 输 出

阀值输入(v11)触发输入(v12)复位(RD)输出(VO)发电管T × × 0 0 导通

<2/3VCC <1/3VCC 1 1 截止

>2/3VCC >1/3VCC 1 0 导通

<2/3VCC >1/3VCC 1 不变 不变

(2)秒、分、时计数器

U1到U6 六个74LS161构成数字钟的秒、分、时计数器。

U1、U2共同构成秒计数器,它由两个74LS161构成六--十进制的计数器,如图四。U1作为秒个位十进制计数器,它的复位输入RD、和置位输入LD都接低电平,秒信号脉冲作为计数脉冲输入到CP1端,输出端C控制U2秒十位计数器的计数脉冲输入。Q1、Q2、Q3、Q4作为秒个位的计时值送至秒个位七段显示译码/驱动器。

U2作为秒十位六进制计数器,它的计数脉冲输入受到秒个位U1的控制,其计数器使能端EP、ET与U1的输出端C相连接。当U2计数器计到0011,即清零信号到复位输入端时,Q1、Q2、Q3、Q4输出的都是零。Q1、Q2、Q3、Q4作为秒十位的计时值送至秒十位七段显示译码/驱动器。

U3、U4分别构成分个位十进制和分十位六进制计数器,如图四。U3、U4与U1、U2的连接方法相似。当计数器输出为01011001状态,U3(U1)、U4(U2)的LD端同时为“0”,使计数器立即返回到00000000状态。这样就构成了六十进制计数器。

图四 六十进制计数器

U5、U6共同构成时计数器,它由两个74LS161构成六十进制的计数器 如图五。U5作为时十位计数器,它的复位输入RD、和置位输入LD都接低电平,时信号脉冲作为计数脉冲输入到CP1端,输出端C控制U6秒十位计数器的计数脉冲输入。Q1、Q2、Q3、Q4作为秒个位的计时值送至秒个位七段显示译码/驱动器。当计数器输出为00100100状态,U5、U6的LD端同时为“0”,使计数器立即返回到00000000状态。这样就构成了二十四进制计数器。

U12 图五 二十四进制计数器

(3)显示译码/驱动器和LED七段数码显示管

六个74LS248集成电路构成数字钟的七段数码显示管显示译码/驱动器。74LS248七段显示译码器输出高电平有效,将8421BCD码译成七段(a、b、c、d、e、f、g)输出,用以直接驱动LED七段数码显示对应的十进制数。74LS248的显示功能:

显示功能见功能表的上半部分。[DCBA]是二进制码输入,要正确的执行显示功能,有关的功能端必须接合适的逻辑电平,这些功能端的作用随后介绍。对于0~9输入,[DCBA]相当BCD8421码。当超过9以后,译码器仍然有字型输出,具体见图六。当[DCBA]=1111时,数码管熄灭。实验时要在笔划段电极串联电阻,以保护LED数码管。

表1 中规模显示译码器74LS248的功能表

图六 74LS248显示字型与输入的对应关系

如图七,六个LED七段数码显示管利用不同发光段组合的方式显示不同数码,都采用+5V电源作为每段发光二极管的驱动电源。需要发光的段为高电平,不发光的段为低电平。设计中采用共阴极数码管,每段发光二极管的正向降压,随显示光的颜色有所不同,通常约2V~3V,点亮电流在5~10mA。六个LED七段数码显示管分别显示秒个位、十位;分个位、十位;时个位、十位的计数十进制数

图七 显示译码/驱动器和数码显示管

(4)分频器

分频器电路是由三个74LS90构成,如图八。74LS90是异步十进制计数器,它由一个一位二进制计数器和一个异步五进制计数器组成。将QA与CP2相连,计数脉冲由CP1端输入,输出由QA~QD引出,即得到十进制计数器。只有在复位输入R0(1)= R0(2)=0和置位输入S9(1)= S9(2)=0时,才能够在计数脉冲(下降沿)作用下实现二—五—十进制加计算。因为要对输入的脉冲进行三次10分频,三片74LS90的复位输入R0(1)、R0(2)和置位输入S9(1)、S9(2)都接低电平。振荡器输出的方波脉冲计数器作为U1的CP1端的输入时钟脉冲,U1的QD端的输出脉冲作为U2的CPA端的输入时钟脉冲,U2的QD端的输出脉冲作为U3的CP1端的输入时钟脉冲,U3的QD端的输出脉冲fO=fS/103¬¬¬¬¬¬¬=1HZ,即为秒信号方波脉冲,成为秒、分、时计数器的计数脉冲和时间校准信号。

将JK触发器的J、K端都接在高电平,Qn+1=JQn+KQn=Qn,每输入一个时钟脉冲后,触发器翻转一次,触发器处于计数状态。经过触发器的二分频,Q端输出为500HZ的脉冲作为低音脉冲。

经过U1、U2计数器的二次十分频,输出的脉冲频率为10HZ,作为秒校时脉冲。

图八 分频器

附74LS90二—五—十进制计数器功能图

复位输入 置位输入 输出 R0(1)R0(2)S9(1)S9(2)QA QB QC QD H H L × L L L L H H × L L L L L × × H H H L L H L × L × 计数

L × × L 计数

× L L × 计数

× L × L 计数

JK触发器的功能表

J K Qn Qn+1 说明

0 0 0 0 输出状态不变1

0 1 0 0 输出状态与J端状态相同0 0 0 1 输出状态与K端状态相同1 1 0 1 每输入一个脉冲输出状态改变一次0

(五)报时电路

整点报时电路要求在每个整点发出音响,因此需要对每个整点进行时间译码,以其输出驱动音响控制电路。如图九。

若要在每一整点发出五低音、一高音报时,需要对59分50秒到59分59秒进行时间译码。QD4~QA4是分十位输出,QD3~QA3是分个位输出,QD2~QA2是秒十位输出,QD1~QA1秒个位输出。在59分时,A= QC4 QA4 QD3 QA3=1;在50秒时,B= QC2 QA2=1;秒个位为0、2、4、6、8秒时,QA1=0,C= QA1=1;因而F1=ABC= QC4QA4 QD3 QA3 QC2 QA2 QA1仅在59分50秒、52秒、54秒、56秒、58秒时等于1,故可以用F1作低音的控制信号。

当计数器每计到59分59秒时,A= QC4 QA4 QD3QA3=1,D= QC2 QA2 QD1 QA1=1,此时F2=AD=1。把F2接至JK触发器控制端J端,CP端加秒脉冲,则再计1秒到达整点时F3=1,故可用F3作一次高音控制信号。

用F1控制5次低音、F3控制高音,经音响放大器放大,每当“分”和“秒”计数器累计到59分50、52、54、56、58秒发出频率为500HZ的五次低音,0分0秒时发出频率为1000HZ的一次高音,每次音响的时间均为一秒钟,实现了整点报时的功能。

图九 整点报时电路

四、原理图(见最后一页)

五、元器件明细表

序号 元器件名称 型号规格 数量(个)备注

U0 集成定时器 5G555定时器 1 构成多谐振荡器 U1~U6 同步加法计数器 74161 6 构成模加法计数器

U7~U9 异步十进制计数器 74LS90 3 构成分频器

U10 七端显示译码器 74LS248 6 分别显示秒、分、时的数字

U11~U12 与非门 多输入与非门 2 U13 J-K触发器 1

C1、C2 电容 2 C1=C2=104pf R1 R2 电阻 2 R1 =2K、R2=5.1K R、R` 电阻 2 R=1k,R`=47 U14 U20 门器件 非门 1

U15~U19 门器件 与门 6 多输入与门

U21~U23 门器件 与非门 3 多输入与非门

U24 触发器 J-K触发器 1 U25 晶体三级管 1 U26 喇叭 1 实现闹铃

六、设计体会

上一篇:杜校政教主任岗位职责下一篇:独乐乐不如众乐乐高考满分作文