脉冲与数字电路实验总结(共13篇)
篇1:脉冲与数字电路实验总结
脉冲与数字电路实验总结
脉冲与数字电路接收和处理的都是脉冲式离散信号。相较于模拟信号,它更易于复制、修饰;所有的数字信号都是有不同的电平保持长度的高低电平携带。且高低电平的区分有很大的容差,这使它具有更强的抗干扰性和精确性。
在一个学期的学习过程中,我们共做了六个相关实验,包括:门电路逻辑功能及测试,组合逻辑电路,触发器R-S、D、J-K,三态输出触发器及锁存器,时序电路测试及研究,译码器和数字选择器。设计数字集成器件:74LS00,74LS10,74LS20,74LS86,74LS04,74LS54等等。
学习并深入了解门集成块门、非门及其扩展门电路,分组合应用以达到不同的逻辑设计效果。
在数电理论的指导下,使用合成实验面包板进行试验。配有高低电平输入开关盒发光二级管指示操作和测试逻辑电路的性能。整体来说,数电试验中,虽然接线较为复杂,但其效果着实非常客观和准确。有利于工科学生形成严谨细致的学术作风。
最后,感谢我的实验老师,在整个学习和实验过程中对我尽心的指导和帮助。感谢我的同组学生,在实验进程中对操作及理论的见解交流。使我顺利并成功的完成了各项试验,获得对知识的进一步理解。
内部的门电路原理:与门、或别对应电气符号为:。并将其
篇2:脉冲与数字电路实验总结
课程名称
数字电路与逻辑设计
专
业
计算机科学与技术
班
级
姓
名
刘
腾
飞
学
号
09030234
指导教师
王
丹
志
成绩
2010年 年 11月 月 10 日
实验题目:
译码器、数据选择器及其应用
一、实验目的 1、掌握中规模集成译码器与数据选择器的逻辑功能与使用方法
2、熟悉数码管的使用 3、学习用数据选择器构成组合逻辑电路的方法 二、实验原理 1 1、中规模集成译码器 74 LS 138
74LS138是集成3线-8线译码器,在数字系统中应用比较广泛。图-1是其引脚排列。其中 A2、A1、A0为地址输入端,0Y~ 7Y为译码输出端,S1、2S、3S为使能端。
图-1 74LS138真值表图-2如下:
图-2 74HC138工作原理为:当S1=1,S— 2+S — 3=0时,器件使能,电路完成译码功能,输出低电平有效。当S=0,S— 2+S — 3=X时,或S1=1, S— 2+S — 3=1,译码器被禁止,所有输出同时为1 2 2、双4 4 选1 1 数据选择器
74LS153 ?
所谓双4选1数据选择器就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图-3所示,功能表如图-4所示。
图-3
输入 输出 S—
A1 A0 Q 1 0 0 0 0 X 0 0 1 1 X 0 1 0 1 0 D0 D1 D2 D3 图-4
1S—、2S — 为两个独立的使能端;A1、A0为两个公用的地址输入端;1D0~1D3和2D0~2D3分别为两个4选1数据选择器的数据输入端;Q1、Q2为两个输出端。
当使能端1S—(2S —)=1时,多路开关被禁止,无输出,Q=0。
当使能端1S—(2S —)=0时,多路开关正常工作,根据地址码A1、A0的状态,将相应的数据D0~D3送到输出端Q。3、8 8 选1 1 数据选择器 74LS151
74LS151为互补输出的8选1数据选择器,引脚排列如图-5所示,功能表如图-6所示。
图-5
图-6 选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Q,S— 为使能端,低电平有效。
使能端S— =1时,不论A2~A0状态如何,均无输出,多路开关被禁止。
使能端S— =0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某一个通道的数据输送到输出端Q。
三、实验设备及器件 ●
硬件:PC机一台 ●
软件:QuartusⅡ5.0集成开发环境 四、实验内容 1.使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC 2.使用74LS151实现逻辑函数 F=AB’+A’B+AB 3.使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC
五、实 验过程 1、使用74LS138实现逻辑函数 F=A’B’C’+AB’C’+ABC ① 由74LS138功能表(图-1)可知电路图连接如图-7所示
图-7 ② 经编译检查无错(图-8)
图-8
③ 对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-9
图-9 2、使用74LS151实现逻辑函数F=AB’+A’B+AB
①将输入变量C、B、A作为8选1数据选择器的地址码A2、A1、A0。使8选1数据选择器的各个数据输入D0~D7分别与函数F的输出值一一对应,即A2A1A0=CBA、D0=D2=D3=0、D0=D4=D5=D6=D7=1则输出Q便实现了函数AB’+A’B+AB接线图如图-10
图-10 ②经编译检查无错(图-11)
图-11 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-12
图-12 3、使用74LS153实现逻辑函数 F=A’BC+AB’C+ABC’+ABC
①函数F有3个输入变量A、B、C,而数据选择器有2个地址端A1、A0少于数据函数输入变量个数,在设计时可任选A接A1,B接A0。接线如图-13
图-13
②经编译检查无错如图-14
图-14 ③对其进行仿真,设置好一定仿真时间区域与输入波形后启动仿真器得仿真结果如图-15
篇3:脉冲与数字电路实验总结
串行数据分配电路是本实验的被试电路, 主要用于信息的处理, 通过不同的选通控制信号向不同的输出端口分配寄存器的串行数据, 有多谐波振荡器来产生选通控制信号, 它作为一种方波信号, 具备一定脉宽。本实验选择的接受辐射干扰的耦合途径为选通控制信号1的信号传输线, 在一个PCB班上制作选通控制信号2的产生电路和串行数据分配器电路。另外再制作一个电路板, 它是利用多谐振荡器电路制作的, 也就是选通控制信号1产生的电路, 分别在两个金属屏蔽盒中装设两电路板和供电电源。
二、连续波对电路的辐照试验
通常情况下, 连续波辐照装置包括很多个组成部分, 比如信号发生器、功率放大器、场强计等。按照相关摆放方向, 来对被试电路进行辐照试验, 利用光纤传输场强计来监测场强, 利用屏蔽电缆来在示波器监测中连接被试电路1两端产生的电压波形。
当加到一定场强时, 由电缆引入的干扰电压作为正弦高频震荡, 具有十分稳定的辅助, 当逐渐增大外加场强, 就会干扰到产生选通控制信号的多谐振荡器, 主要表现是这样的, 延长了方波控制信号的高电平持续时间, 缩短低电平持续时间, 增大方波占空比。如果没有干扰时, 多谐波振荡器输出的方波电压信号波形占空比为二分之一;受到干扰时, 会显著增大与非门的输入波形高电平持续时间, 降低低电平持续时间, 周期也得到了增大, 方波占空比得到了增加, 但是并没有影响到与非门的逻辑功能。
对辐射场的频率和幅度进行改变, 对多谐波振荡器输出方波的高电平持续时间、低电平持续时间以及周期和占空比等进行测量, 发现频率如何改变, 只要增大辐射场强, 就会减小多谐波振荡器输出方波的低电平持续时间, 增大高电平持续时间, 并且还会增大占空比。
三、电磁脉冲对电路的辐照试验
一般情况下, 由界波电磁脉冲模拟器、被试电路和测试设备共同组成了雷电电磁脉冲辐照试验装置, 其中, 又可以将界波电磁脉冲模拟器继续细分, 划分为脉冲源、前过渡段、平行板段以及后过渡段等几个组成部分。在平行板传输线的工作空间上放置被试设备, 采用雷电浪涌发生器来制造高压脉冲, 它所产生的综合波浪涌电压波形符合国际标准的相关规定。采用宽带电场测试系统来测量工作空间内脉冲场的脉冲场, 它是利用光纤传输的, 采用示波器来测量被试电路接收的耦合电压。
对场强的大小进行适当改变, 对不同场强下与非门输入端的电压波形和输出端的电压波形进行测量;通过具体的试验我们可以得知, 在受到干扰之后, 就会有振荡问题出现于高电平或低电平的信号中, 高频震荡是低频衰减震荡的前兆。展开场波形的前部, 相较于感应波形, 我们可以发现, 有一些高频振荡存在于场波形的前部, 它是不应该存在于标准的双指数雷电浪涌波形中, 它的产生主要是一些影响因素的作用, 比如放电开关以及波形产生电路分布参数等。而感应波形前部的高频震荡在震荡频率和持续时间方面等同于场波形前部, 我们可以推断出来, 场波形前部的高频震荡引起了感应波形前部的高频振荡。双指数场波形则引起了低频振荡。
四、结语
通过上文的试验分析我们可以得知, 数字电路不仅会受到连续波的干扰, 还会受到电磁脉冲波的影响。电磁脉冲和连续波在数字电路方面有不同的干扰现象。当采用连续波辐照时, 主要是对多谐波振荡器方波的高、低电平持续时间以及占空比等产生影响, 而采用电磁脉冲辐照时, 主要是产生一段振荡, 它的频率要远远高于方波信号。
参考文献
篇4:论数字电路系统的测试与实验分析
掌握数字系统的分析和设计方法。
能够熟练地、合理地选用集成电路器件。
提高电路布局、布线及检查和排除故障的能力。
培养书写综合实验报告的能力。
(二)实验要求
1.根据设计任务要求,掌握数字系统的分析和设计方法。首先按单元电路进行设计,然后选择合适的元器件,最后画出总原理图。
2.安装调试电路直至实现任务要求的全部功能。对电路要求布局合理、走线清楚、工作可靠。
3.写出完整的实验报告,包括调试中出现异常现象的分析和讨论。
(三)实验说明
1.数字系统的设计方法。数字电路通常是由组合逻辑和时序逻辑功能部件组成的,这些功能部件可以由各种各样的SSI(小规模)、MSI(中规模)、LSI(大规模)器件组成。数字电路系统的设计方法有试凑法和自上而下法。下面对这两种方法进行简要介绍。
试凑法的基本思想是把系统的总体方案分成若干个相对独立的功能部件,然后用组合逻辑电路、时序逻辑电路的设计方法,分别设计并构成这些功能部件;或者直接选择合适的SSI、MSI、LSI器件实现上述功能,最后把已经确定的部件按要求拼接组合起来,构成完整的数字系统。
近年来,随着中、大规模集成电路的迅猛发展,许多功能部件的读数据选择器、译码器、计数器和移位寄存器已经大量生产和广泛使用,不需按照组合逻辑电路和时序逻辑电路的设计方法来设计,可直接用这些部件来构成完整的数字系统。对于一些规模不大,功能不太复杂的数字系统,选用中、大规模器件,采用试凑法设计,具有设计过程简单,电路调试方便,性能稳定可靠等优点,因此,仍被广泛使用。
自上而下(或自顶向下)的设计方法适合于规模较大的数字系统。由于系统的输入变量、状态变量和输出变量的数目较多,很难用真值表、卡诺图、状态表和状态转换图来完整、清晰地描述系统的逻辑功能,需要借助某些工具对所设计的系统功能进行描述。通常采用的工具有:逻辑流程图、算法状态机流程图、助记文件状态图等。
这种方法的基本思想是,把规模较大的数字系统从逻辑上划分为控制器和受控制器电路(受控电路)两大部分,采用逻辑流程图或ASM图或MDS图来描述控制器的控制过程,并根据控制器及受控制器电路的逻辑功能,选择适当的SSI、MSI功能器件来实现。控制器或受控器可分别看成一个子系统,所以,逻辑划分的工作还可以在控制器或受控器内部多重进行。按照这种设计思想,一个大的数字系统,首先被分割成不同层次的许多子系统,再用具体的硬件实现这些子系统,最后把它们连接起来得到完整的数字系统。自上而下设计方法的步骤如下:
(1)明确设计系统的逻辑功能。
(2)拟定数字系统的总体方案。
(3)逻辑划分,即把系统划分成控制器和受控电路两大部分,规定具体的逻辑要求,不涉及具体的硬件电路,如下图所示。
(4)设计受控电路及控制器。受控电路可以根据其逻辑功能选择SSI、MSI、LSI功能部件来实现,由于控制器是个复杂的时序逻辑系统,很难用传统的状态图来描述其逻辑功能,如果采用ASM图或MDS图来描述控制器的逻辑功能,再通过程序设计反复比较判断各种方案,则可不受条件限制地导出控制器的最佳方案。
现代数字系统的设计,可以用EDA工具,选择PLD器件来实现电路设计,可以将上面的描述直接转换成EDA工具使用的硬件描述语言送入计算机,由EDA完成逻辑描述、逻辑综合及仿真等工作,完成电路设计。
自上而下的设计过程,并非是一个线性过程,在下一级定义和描述中往往会发现上一级定义和描述中的缺陷或错漏。因此,必须对上一级的定义和描述加以修正,使其更真实地反映系统的要求和客观可能性。整个设计过程是一个反复修改和补充的过程,是设计者追求自己的设计目标日臻完善的积极努力的过程。
2.试验电路的故障检查和排除。在实验中,当电路不能完成预期的逻辑功能时,就称电路有故障。典型故障有三类:设计错误导致的故障,布线错误导致的故障,器件与底板故障。其中大量的故障是由于接触不良造成的,其次是布线错误(漏线和错线),因集成器件本身问题导致的故障是较少的。
篇5:数字电路实验报告
一、实验目的1、掌握用门电路设计组合逻辑电路的方法。
2、掌握组合逻辑电路的调试方法。
二、实验器材
数字电路实验箱一台、74LS00若干
三、实验内容
1、用与非门实现散人多数表决器电路
(1)真值表
(2)表达式化简及变形
(3)逻辑图
2、用与非门实现YAB
(1)真值表
(2)表达式化简及变形
(3)逻辑图
译码器应用电路的设计与测试
一、实验目的1、熟悉集成译码器的性能和使用方法
2、学会使用二进制译码器实现组合逻辑电路的方法
二、实验器材
数字电路实验箱一台、74LS138一片、74LS20一片
三、实验内容
1、用74LS138及74LS20实现三人多数表决器电路
(1)真值表
(2)表达式转换
(3)逻辑图
2、用74LS138及74LS20实现YAB
(1)表达式转换
(2)逻辑图
数据选择器的设计与调试
一、实验目的1、熟悉数据选择器的性能及使用方法
2、学会使用数据选择器进行逻辑设计的方法
二、实验器材
数字电路实验箱一台、74LS151一片
三、实验内容
1、用74LS151实现三人多数表决器
(1)真值表
(2)比较卡诺图求出Ai及Di
(3)逻辑图
2、用74LS151实现YABBCAC
(1)比较卡诺图求出Ai及Di
(2)逻辑图
N进制计数器的设计与测试
一、实验目的1、掌握集成技术器的测试方法
2、学会利用集成技术器构成N进制计数器
二、实验器材
数字电路实验箱一台、74LS161一片、74LS20一片
三、实验内容
1、用74LS161设计七进制计数器。
方法一:清零(0-6)
(1)逻辑图
(2)状态转换图
方法二:置数(1-7)
(1)逻辑图
(2)状态转换图
方法三:置数(9-15)(CO做反馈)
(1)逻辑图
篇6:数字逻辑电路实验报告
第次实验:
姓名:
学号:
级系班
邮箱:
时间:
正文(由下面八项内容评定每次实验报告成绩)
一、实验目的本次实验预期要学习到的知识、方法等
二、实验原理(背景知识)
本次实验需要的理论知识背景、实验环境和工具等前期准备知识,预习时完成的引导性实验内容一般在此有所体现。
三、实验器材/环境
本次实验中使用的硬件器材和软件环境
四、实验设计思路(验收实验)
验收实验的设计流程图/卡诺图/真值表/代码等或其他
五、实验过程(验收实验的过程)
充分截图,详细说明实验过程步骤等
六、实验结果
简单介绍本次实验完成的工作,学到的知识等。
七、实验中遇到的问题及解决方案
请将已经解决的问题写在这里,没有解决的问题也可以保留在这里,但是可能不能立即得到回答,没有得到回答的问题请在下一次课时向老师和助教当面提问。
八、实验的启示/意见和建议
1对本课程或本次实验的意见建议等,如:实验内容难度,实验时间安排,如何提高实验效果等。
2对本次实验内容你有没有让同学更有兴趣的建议,或者如何才能让你对本次实验更有兴趣?
3你有好的与本次实验有关的实验内容建议吗?比如在日常的学习和生活中遇到的,可以转换为实验的内容?
我们将非常感谢你给我们提出意见和建议,这将使我们的课程更加生动有效。
附:本次实验你总共用了多长时间?包括预习时间、和课堂完成时间。(请大家如实统计,时间长短不影响本次实验的成绩。这个主要用于统计大家的工作时间,粗略确定实验的难度,为我们以后的实验设计提供参考。)
篇7:脉冲与数字电路实验总结
一、实验目的
1、综合应用所学的数字电路知识,学会查找相关资料,针对设计提出的任务要求和使用条件,设计制作合理、可靠、经济、可行的电子产品。
2、培养学生独立分析问题、解决问题的能力。
3、培养严肃认真的工作作风和严谨的科学态度。
4、掌握PCB板的设计,完成电路连接和调试方法。
二、设计任务与要求
(1)当有某一参赛者最先按下抢答开关时,在数码管上显示相应的组序号,并伴有音响提示,此时抢答器不再接受其他输入的抢答信号。
(2)电路具有回答问题的时间控制功能,要求回答问题的时间≤100s(显示00~99)。时间显示采用倒计时方式。当达到限定时间时(显示器为00时),发出声响以示警告。
(3)要求电路主要选用中规模TTL或CMOS集成电路。(4)电源电压5~10V,由稳压电源提供不另行设计。
三、设计方案
根据设计要求,智力竞赛抢答器组成框图如图1所示,主要由六部分组成。
(1)抢答控制器。智力竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,并使数码管显示相应的参赛者序号,共用的蜂鸣器发出声响,与此同时封锁住其他参赛者的输入信 号。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。
(2)抢答输入电路。由6个开关组成,6人各控制一个,按下开关时相应的控制信号为低电平。
(3)清零装置。由主持人控制,它能保证每次抢答前使抢答器清零,避免电路的误动作和抢答过程中的不公平。
(4)显示、声响电路。显示电路由译码器和数码管组成,可以显示对应的参赛者序号和倒计时计数。声响电路由蜂鸣器构成,输入信号有抢答信号和“时间到信号”。
(5)计数、显示电路。该电路的作用是对抢答者回答问题时间进行控制,规定的时间小于或等于100S,所以显示装置应该是一个二位数字显示的计数系统。当主持人给出“请回答”指令后,从“99”倒计时,当记到“00”时,要能够驱动声响电路发出警告声。(6)振荡电路。振荡电路用来产生秒脉冲信号给192提供时钟信号。
四、电路设计
(1)抢答控制电路和抢答输入电路、清零装置如图2所示。由抢答按键、优先编码器74ls148、SR触发器74ls279组成。按键的输出端接74ls148的输入端,74ls148的功能表如表1,把I7端和I0端接高电平其余六个引脚接与按键相连,148的三个数据输出端(A2、A1、A0)和控制输出端YEx接SR触发器的的4个S端,触发器的A2、A1、A0再分别与译码器的A2、A1、A0相连接。
图2 S1由主持人控制,当主持人按下时,SR触发器的四个S输入端都是低电平从而使四个Q输出端都是低电平,数码管显示0,74Ls148处于工作状态。当S1弹起时,S1为高电平,即SR触发器的4个S输入端都为高电平,若有人按下时,按键的输出端给一个低电平(比如I1=0),使148的A2、A1、A0输出一个110~到001的编码(比如A2A1A0=110)且YEx输出一个低电平,A2A1A0输到SR触发器的S端,使触发器输出相应的编码(如0001),从而在数码管显示相应的按键序号(如1),同时Q4即SR触发器的13引脚输出高电平,把74ls148给锁住,再有其他按键按下已无效。
表1(2)倒计时电路如图3所示。该电路由两片74ls192和两个与门构成,由于是倒计时,所以CNT UP接高电平,主持人按下置数端时,192的P3P2P1P0(1001即9)送到Q3Q2Q1Q0端,当置数端没按下时,且“时钟开始走”信号到,时钟信号从与门的引脚5输入,因为定时信号未到时是高电平,所以时钟信号可以顺利的到达低位192的CNT DWN端,使192开始计数倒计时,当低位的减到0时,低位192的借位端输出一个低脉冲向高位192借1又开始从9往下减。
图3(3)振荡电路如图4所示,其中555构成多谐振荡器,振荡频率f=1/〔(R2+2R1)C1ln2〕,所以我们选R1为15K,R2为68K,C1为10u,由公式得f=0.95Hz。
(4)显示、声响电路如图5、6、7所示。显示电路由74ls48译码器和数码管组成。由SR触发器279的A2A1A0或者计数器192的Q3Q2Q1Q0接到译码器的A3A2A1A0端,Ds1、Ds2、Ds4为共阴数码管。声响电路如图7由蜂鸣器和一个与门电路、三极管组成,当“有人按下信号”或者“定时信号”到,与门输出低电平从而驱动PNP三极管饱和导通而是蜂鸣器发出声音。
图4
图6
图7
五、电路原理图与PCB图
七:制作与调试 1)选择好与器件,并认真测试元器件的参数。
2)将印制电路板的排版设计好。用两块合乎规格的电路板将电源及。抢答器电路分别焊接成一整体。
3)将电源和抢答器连接起来成一个八路抢答器成品。
4)通电并调试。
七、设计电路的特点和方案的优缺点
优点:该电路是由TTL集成电路和电阻电容构成的,功耗小且工作稳定,且该抢答器结构简单,实用性强,具有数据锁存功能,能应用于各活动中。
缺点:该电路输入分辨率低,当有人同时按下时是随机取值。
改进:可以换成分辨率更高的输入控制电路,比如用500kHZ的时钟信号去控制6个D触发器和6个与非门。
八、器件清单
74ls192两个、74ls48三个、74ls148一个、74ls08一个、74ls279一个、NE555一个、共阴数码管三个、s8550一个、蜂鸣器一个、10k电阻10个、15k和68k电阻各一个、10u电容两个、104瓷片电容一个、LED一个、按键9个。
九、参考文献
[1]康华光.电子技术基础模拟部分(第五版)[J].高等教育出版社.北京 [2]阎石.数字电子技术基础(第五版)[J].高等教育出版社.北京
十、心得体会
篇8:数字电路实验教学的改革与创新
1数字电路实验存在的问题
1.1实验内容单一,实验课程间缺乏有效联系
传统数字电路实验被定位为理论课补充,旨在通过辅助教学夯实学生知识理论。这种情况下开展的实验课程不仅存在实验内容单一的问题,而且不同课程实验内容之间也无法实现有效的综合和衔接,进而造成学生进行大型实验(例如本科毕业设计)时,很难具备符合综合性实验要求的整体调测和设计能力。
1.2实验内容简单,学生缺乏兴趣
现阶段很多高校开展的数字电路实验课程存在内容陈旧老化的问题,实验手段也相对单一。很多试验课程仅仅是程式化验证理论教学内容,或者简单训练学生的基本试验技能。这些课程存在较多验证性实验,很少有设计性实验。这样学生只能简单进行实验验证,其创新能力很难激发出来,无法有效激发学生兴趣。
1.3教学方法、形式僵化
传统数字电路教学采用的方式是教师将可能出现的结果、实验操作、实验准备提出,学生进行具体操作。由于这种教学方式非常机械化,学生参与实验只是为了验证结果,其创新能力很难得到有效培养。这种情况下学生只能进行单一的思维,很难具备举一反三的能力,最终再无法有效进行设计性试验。
2数字电路实验教学的改进措施
2.1创新、优化教学内容和手段
数字电路课程包括设计性实验和验证性实验两类实验内容,验证性实验进行主要结合数字电路理论进行,能够培养学生数字电路的基础动手能力,具有巩固、加强、验证学生理论知识的作用。但是,学生是能够预知部分实验内容结果,所以很难形成对于这类实验的兴趣和热情,更有一些学生排斥参与实验电路搭建,最终根据理论课内容直接撰写结果。而在设计性实验中学生的探索精神和科研能力能够得到有效培养,教学内容应当事先从验证性内容向设计性实验内容的变革,应当将设计性实验作为课堂实验的主要内容,同时将大型综合性实验的比例提升,这样学生所学的技能和知识就能够得到更加灵活的应用,最终获得创新能力的提升。另外,教师还应当完善教学手段,要求学生预习所有实验内容,进而提升实验的成功率。实际中很多学生仅仅是通过抄写实验书中的电路图、内容、原理完成预习报告,在设计时没有进行充分的思考,这样学生在具体实验中常常出现手足无措的现象,无法解决遇到的具体问题,预期的实验效果很难达到。所以教师应当将E D A技术引入到数字电路实验教学中,要求学生用相应软件模拟自己所涉及的电路,进而在模拟过程中进行结果的验证。
2.2改革实验教学理念,确立实验教学目标
我国传统教学模式中教学活动围绕教师展开,实验教学是建立在理论教学的基础之上,实验内容以验证性实验为主。教师在给出电路图和实验步骤之后,学生根据步骤进行实验结果的验证,这种情况下学生的积极性和兴趣很难被激发出来,进而无法实现所学知识的综合运用。所以应当对传统以教师为中心的教学模式进行变革,将学生作为教学活动的中心,让学生主动进行相应内容的学习。只有这样学生的创造性和积极性才能够被充分发挥出来,最终推动高等教育的有序发展。在具体教学改革活动中,教师应当遵循强化学生工程实验能力、拓展知识面、强化实验与理论相结合的能力。教育创新核心力量是教师,所以应当在教学、教育过程中对学生创新意识进行培养,将学生解决、分析、提出问题内在动机充分激发出来,通过各种机会吸引学生进行各项实验,最终实现对学生解决问题、分析问题、发现问题能力的有效培养。
2.3建立多层次实验教学模式
教师应当循序渐进的培养学生实验能力,所以学生培养活动需分阶段开展。数字电路实验教学可将内容分为四个层次:第一,方法性或原理性的验证性实验,通过基础实验对学生的科学实验方法和精神进行培养,对其严谨严格工作作风进行训练;第二,综合设计性实验:学生以实验任务为依据,进行方案的测试和电路的自行设计,进而实现学生自主学习能力的提升;第三,综合创新性的系统实验:通过课程设计达到分析、测试、制作、仿真、设计系统电路的工作,然后进行规范、完整的设计报告的制作。该项实验对于学生应用知识解决实际问题能力培养具有重要价值,能够有效提升学生设计素质和完善学生知识结构;第四,工程训练性实验:成立电子设计小组,并将良好环境和研究场所提供给学生,最终实现对学生领导能力和创新精神的有效培养。建立四层次实验教学新体系应当采取措施包括:首先,降低验证性实验比例,重点进行综合性设计实验。将实验内容划分为两部分,分别包括观察认知性质的和具有一定探索性质的。其次,在本科实验中引入现代计算机仿真技术,并创新实验方法。再次,创新教学手段。应当进一步完善实验室的计算机和多功能投影仪的配置,推动教学的网络化和多媒体化发展。
3结语
通过以上内容可知数字电路实验教学中还存在较多问题,这在很大程度上抑制了学生实验能力和理论应用能力的提升。高校应当加强对数字电路实验教学的重视,提升实验教学的水平,进行有效提升学生的实验能力和素质。
摘要:当前数字电路实验教学中还存在较多问题,这对于学生实验能力的培养和提升造成了较大影响,高校教师应当进一步强化数字电路实验教学的创新和改革,提升数字电路实验教学的质量,进而推动我国高校教学的健康稳定发展。
关键词:数字电路,实验教学,改革,创新
参考文献
[1]李旭,张为公,李丽书等.基于科研项目的数字电路创新型实验教学改革[J].实验室研究与探索(社会科学版),2015,(10):168-171+209.
[2]鲍宁宁,季伟明,张高阳等.关于优化数字电路实验教学体系对培养学生创新能力的探讨[J].实验室科学(自然科学版),2011,(11):193-195.
[3]石国河,魏强兵,董建国等.《数字电路与逻辑设计》实验教学的改革与探索[J].河南教育学院学报(自然科学版),2016,(09):76-78.
篇9:脉冲与数字电路实验总结
摘要:针对数字逻辑电路中基本概念多且抽象及工程实践性强的特点,如何设计教学内容与环节,使学生在有限学时中掌握其实际应用知识,以提高学生的综合实践与工程应用能力,是数字电路实验教学需要解决的重要問题。知识可视化与项目驱动法等先进教学工具与方法已应用于数字电路实验教学,并取得良好的实验教学效果。
关键词:知识可视化;项目驱动法;虚拟仿真;数字电路
【中图分类号】G642.0
基金资助:
[1]南昌工程学院校级教改课题(课题编号:2014JG002)
[2] 2014年江西省大学生创新创业计划专项基金项目
0 引言
数字电路是电子信息类、通信类、自动化类、计算机类等专业的必修课程[1],是概念性、实践性、工程性都很强的专业基础课。该课程的教学,是以培养学生的专业素质和实践能力为目标,以培养学生的工程应用能力为核心。如何设计教学内容与环节,使学生掌握更多的实际应用知识,以提高学生的综合实践与工程应用能力,各高校都在其教学大纲中设计了重要的实验环节。目前,大部分高校的实验内容主要以验证性实验为主,以综合设计性实验为辅。笔者认为,综合设计性实验选题设计的质量优劣,直接关系到数字电路的实验教学质量的高低,进而影响学生的学习积极性及学习效果。
针对数字电路中基本概念的“多、杂、抽象”及器件类型的“多、繁、广”的特点[1-2],本文提出利用知识可视化技术与项目驱动教学法开展数字电路课程的理论与实验教学,将多且抽象的理论概念用知识可视化技术展示出来,便于概念的理解与掌握,同时以项目驱动的教学方式调动学生主动学习的积极性,激发学生的创新与实践能力,培养学生的创新意识与协作精神。以上先进教学工具与方法在数字电路实验教学中的应用在南昌工程学院通信工程系已取得良好的教学效果与口碑。
1 知识可视化与项目驱动法
Martin和Burkard于2004年提出的知识可视化(Knowledge Visualization)已成为全球教育工作者的研究热点[3-4]。知识可视化是指利用可视化技术将抽象复杂的知识转化为易于被认知接受的视觉图像,能够减少认知负荷,便于知识的理解、传播和创新。简而言之,知识可视化是为了帮助学生通过自主学习的方式获取知识或者理解知识,将专家的知识结构展现给学生的一种途径,也指所有用来建构和传递复杂见解的图解手段。
项目驱动法是指在教学过程中以项目为基线或核心进行教学内容的展开,把相关的知识点融入到项目中的各个环节中,逐层推进项目[5]。通过对项目问题的深化或功能扩充,进一步拓宽知识的广度与深度,直至得到一个完整的项目解决方案,从而达到学习知识、培养能力的目的。因此,项目驱动法的基本特征为“项目为主线,老师为主导,学生为主体”[6]。显然,项目驱动法可将枯燥的知识转变为生动的技术实现,利于学生理解和掌握所学知识,培养学生的解决问题与创新能力。
2 案例分析
在数字电路实验的综合设计性实验环节,笔者采用知识可视化与项目驱动法进行教学。显然,项目选题才是综合设计实验的关键,而知识可视化仅是工具与手段。项目驱动法的项目任务应尽可能包含多种设计方案,而且要求具有典型性,其目的是既利于每个学生在设计过程中具有多种选择,极大扩展学生的发散思维,激发学生的创造力,又能发挥教师的引导作用,突出学生的主体创造作用。而知识可视化技术只是一种知识表征工具,以期实现抽象的数字电路概念知识的图表化、直观化及可视化,进而便于理解与掌握。
下面以笔者实验教学的具体实例——七分频逻辑电路系统设计,简述知识可视化与项目驱动法在数字电路实验教学中的应用。
七分频逻辑电路系统设计的具体要求为:实现输入时钟脉冲的七分频输出,其中输出占空比任意;时序电路形式为异步或同步;触发器类型为D或JK触发器,组合逻辑器件任意,利用实验室平台进行设计及示波器测试系统输出波形。
1)电路系统建模
众所周知,逻辑系统设计的关键工具就是可视化的状态图[1],因此本设计也采用状态转换图进行系统的建模。由数字电路原理可知,仅需三位触发器即可实现输入脉冲的七分频,用最高位触发器的输出作为系统输出[1]。本文任意选择两种状态转换过程以说明知识可视化技术在本设计中的应用,如图1所示。
显然,由图1的两个建模方案中的状态转换图可知,方案1的占空比为 ,方案2的占空比为 ,输出为非对称的矩形波,从而以可视化的形式说明了占空比(Duty Cycle)的基本概念。电路系统建模是否合理,可利用Multisim等虚拟仿真软件进行模型验证。
2)电路系统结构设计
学生收到任务后,充分发挥各自的发散性思维,提出了多种电路设计方案,大致概括为以下三类,并选取典型案例加以说明:
明显地,由图2可得,选择不同的触发器类型可实现相同的功能;由图3可看出,D触发器构成异步电路比同步电路要简单的多,而且可靠,但其设计技巧性较强,主要因异步电路设计自由度较大[2];而由图4可得,不同的电路状态选取,得到的电路结构复杂度与设计成本也完全不同。结合以上的知识可视化技术,综合不同实例可看出,数字电路中的异步与同步、最简与非最简、JK触发器与D触发器等抽象概念都在学生的综合设计性实验的创造性设计过程中熟悉并掌握。
3 结束语
知识可视化与项目驱动法等先进教学工具与方法可在数字电路实验教学过程中取得良好的教学效果。知识可视化将抽象复杂的概念通过易于接收的视觉图表表示出来,便于学生的对知识点的理解与掌握。而项目驱动法将学生的学习模式由被动学习转为主动学习,且改变原来单调的授课方式,极大的释放了学生的学习潜能,激发了学生的创新意识。当然,知识可视化表现方式与项目设计质量的优劣直接影响其在数字电路教学中的应用效果,因此知识可视化表现形式与项目设计需要重点思考与把握。如何更好的发挥知识可视化与项目驱动法的潜在优势,需要不断地完善数字电路相关知识的可视化形式,不断地提高项目设计深度与广度。
参考文献:
[1]阎石.数字电子技术基础(第五版)[M],北京:高等教育出版社,2006.
[2]刘金锦 等.“数字电子技术基础”课程改革探索与实践[J].工业与信息化教育,2015(3):24-26.
[3]张霞.知识可视化研究综述[J].软件导刊(教育技术),2013(2):8-11.
[4]Eppler,M.J.,Burkard,R.A. Knowledge visualization: towards a new discipline and its fields of application. http://www.bul.unisi.ch/cerca/bul/publication/com/pdf/wpca0402.pdf.
[5]盖颖.项目驱动教学法在现代汉语教学中的应用[J].教育探索,2009(8):53-54.
[6]冯强,白珍.项目教学法在工业设计专业教学中的实践[J].大学教育,2015(7):144-145.
作者简介
篇10:脉冲与数字电路实验总结
周云波 刘小群
宝鸡文理学院物理与信息技术系
摘要:介绍了常用的EDA软件及开放性实验的实验教学方法,并通过实例阐述了EDA技术在开放性实验中的应用。关键词:EDA ;开放性实验;仿真
为了充分利用实验室资源,让学生能够自主选择实验的内容与时间,从而激发学生做实验的兴趣,提高学生思考问题、分析问题、解决问题的能力,近几年各高校都开设了开放实验。我们从2006年也开设了开放实验,开放实验由于学生可以自选题目,这对实验室的设备提出了很高的要求,但利用EDA设计实验电路,仿真实验结果,克服了实验设备不足的矛盾。“EDA”是Electronic Design Automation(电子设计自动化)的简写,是能够帮助人们设计电子电路或系统的软件工具。EDA是以计算机为工作平台、以硬件描述语言(VHDL)为设计语言、以可编程器件(CPLD/FPGA)为实验载体、以ASIC/SOC芯片为目标器件、进行必要的元件建模和系统仿真的电子产品自动化设计过程。目前进入我国并具有广泛影响的EDA软件有:muhisim7、OW_AD、Protel、Viewlogio、Mentor、Synopsys、PCBW Id、Cadence、MicmSim等等,这些软件各具特色,大体分为芯片级设计工具、电路板级设计工具、可编程逻辑器件开发工具和电路仿真工具等几类。利用EDA工具,可以从概念,算法、协议开始设计电子系统,从电路设计,性能分析直到IC版图或PCB版图生成的全过程均可在计算机上自动完成。EDA代表了当今电子设计技术的最新发展方向,其基本特征是设计人员以计算机为工具,按照自顶向下的设计方法,对整个系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进的开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线、仿真及特定目标芯片的适配编译和编程下载,这被称为数字逻辑电路的高层次设计方法。下面以一个七段数码管的驱动为例,说明EDA设计的实验方法。1.实验教学方法
本实验是作为本科生的选修课,对全校电信、电子电气、自动化专业同学开放。做为模拟电路、数字电路、通讯原理、EDA软件等理论课的后续课程,学生具备了一定的相关理论知识。实验目标的实现都要经过一番努力才能达到,因此在实验的安排上不能简单地限定实验时间和固定内容,必须采取全面开放式和自主式的实验教学方法。实验进程包括初始阶段和提高阶段。
(1)初始阶段 要求教师先讲解操作步骤,给出程序清单,使实验按着先简单后复杂的顺序进行。(2)提高阶段 要求同学完成较为复杂的应用和算法编程,并独立完成实验内容。对于能力强的同学可以根据自己的兴趣来完成自己的题目。研究生可以结合自己的课题,利用实验室的资源完成课程的内容,最后写出一份完整的总结报告。
(3开放实验时,采用仿真实验和仿真设计与硬件实验相结合的方法,EDA的元件库提供了比实验室种类齐全的仪器、仪表和几千种元器件可供使用,利用图形方式创建电路,软件界面直观、操作使用方便,且容量极其丰富的元器件库,还可根据器件的发展随时扩充,使用时可直接调用和修改元件及参数。学生可用EDA先在计算机上模拟设计所选题目的内容,由于仿真设计元件连线简单,参数修改方便,任何一种设计方案都可以尝试,在对硬件电路设计方案进行仿真过程中,验证设计方案正确性与否,从而得到更加合理可行的实现方案。同时根据仿真结果,可对设计方案进行修改和调整,分析各元件参数对整个数字电路的作用与影响,利用仿真电子仪器仪表,按照设计可完成常规的瞬态分析、稳态分析和时域分析等多种电路分析方法,辅助学生完成对电路原理到电路硬件设计的分析,可以直接观察各子系统的波形及整个电路的实验结果,如果有错误,系统软件会有相应的提示。这样经过反复比较、反复分析、反复修改的过程,最后再用硬件完成开放实验的设计。实验时利用EDA仿真设计改变了传统的基于电路板的设计方法,提高了设计效率,掌握了用计算机软件设计、应用的方法,提高了实验的安全性、自诊断性、直观性、综合性、重组性和信息化等特点。根据实验模块间纵向发展和横向联系,进行组合调整,建立网络化的实践教学平台,每个实验室配备计算机及网络、常用仪器设备、相关实验装置等,充分提高了实验室的资源利用,实现理论与实践的紧密结合。2.实验实例:
设计一个七段数码管的译码器并下载到ispLSI1016中,验证其功能是否正确。输入在A,B,C三个按钮开关的控 制下,经ispGDS14,到译码器使输出a1,b1,c1,d1,e1,f1,g1驱动七段数码管为相应的数字。VHDL源程序:library ieee;
use ieee.std_logic_1164.all;entity liu1is port(datain:in std_logic_vector(2 downto 0);
led:out std_logic_vector(6 downto 0));end;architecture liu1_ architecture of liu1 is begin process(datain)begin case datain is
when “000”=>led<=“0111111”;
when “001”=>led<=“0000110”;
when “010”=>led<=“1011011”;
when “011”=>led<=“1001111”;
when “100”=>led<=“1100110”;
when “101”=>led<=“1101101”;
when “110”=>led<=“1111101”;
when “111”=>led<=“0000111”;
when others=>led<=“1111111”;end case;end process;end arch;测试向量程序: module z1
c,x=.c.,.x.;datain_0_,datain_1_,datain_2_ PIN;led_0_,led_1_,led_2_,led_3_,led_4_,led_5_,led_6_ PIN;TEST_VECTORS([datain_0_,datain_1_,datain_2_]->[led_0_,led_1_,led_2_,led_3_,led_4_, led_5_,led_6_])[0,0,0]->[x,x,x,x,x,x,x];[0,0,1]->[x,x,x,x,x,x,x];[0,1,0]->[x,x,x,x,x,x,x];[0,1,1]->[x,x,x,x,x,x,x];[1,0,0]->[x,x,x,x,x,x,x];[1,0,1]->[x,x,x,x,x,x,x];[1,1,0]->[x,x,x,x,x,x,x] [1,1,1]->[x,x,x,x,x,x,x];END 2 仿真结果: 程序下载:
写可编程数字开关器件ispGDS14的设计源文件(在TC下或在MS-DOS EDIT下)
device = ispgds14 PIN 6 = PIN 12 PIN 8 = PIN 16 PIN 9 = PIN 11
存,存时起名 *g.gds ,并注意路经,看PDSGDS存在那里。
用ispGDS的汇编GASM对*g.gds进行编译,即在C:PDSGDS下,打入 GASM *g 回车,则自动生成下载文件 *g.jed 输出引脚
I/O1(16)→a1 I/O6(21)→b1 I/O3(18)→c1
I/O4(19)→d1 I/O5(20)→e1 I/O2(17)→f1 I/O7(22)→g1 输入引脚
I/O28(7)→A I/O29(8)→B I/O30(9)→C 下载
在Design→Down load 下,或双击 IDCD 注意,实验板上按键松开为“1” 下面我们介绍PDS上设计。双击PDS图标
3.结论
[参考文献]:
[1] 王锁萍.龚建荣等.电子设计教程.成都:电子科技大学出版社,2000.2 [2] 潘松,黄蛀生.EDA技术实用教程.北京:科学出版社.2002.10.[3] 曾繁泰,李冰,李晓林.EDA工程概论[M].北京,清华大学出版社,2002.[4] 蒋卓勤,邓玉元.Multisim2001及其在电子设计中的应用[M].西安:电子科技大学出版社,2003.[5] 徐志军等.大规模可编程逻辑器件及其应用[M].电子科技大学出版社.[6] 符兴昌.EDA技术在数字系统设计分析中的应用[J].微计算机信息, 2006, 5-2: 267-269
作者简介:周云波(1965~),女,高级实验师。
篇11:数字电路总结
第一章数制和编码
1. 能写出任意进制数的按权展开式;
2. 掌握二进制数与十进制数之间的相互转换;
3. 掌握二进制数与八进制、十六进制数之间的相互转换;
4. 掌握二进制数的原码、反码及补码的表示方法;
5. 熟悉自然二进制码、8421BCD码和余3 BCD码
6. 了解循环码的特点。
第二章 逻辑代数基础
1. 掌握逻辑代数的基本运算公式;
2.掌握代入规则,反演规则,对偶规则;
熟悉逻辑表达式类型之间的转换---“与或”表达式转化为“与非”表达式;
3. 熟悉逻辑函数的标准形式---积之和(最小项)表达式及和之积(最大项)式表达式。(最小项与最大项之间的关系,最小项表达式与最大项表达式之间的关系)。
4. 了解正逻辑和负逻辑的概念。
第三章:数字逻辑系统建模
1.熟悉代数法化简函数
(AABA,AABAB, ABACBCABAC, A+A=AAA=A)
2.掌握图解法化简函数
3.了解列表法化简函数(Q-M法的步骤)
4.能够解决逻辑函数简化中的几个实际问题。
a.无关项,任意项,约束项的处理;
b.卡诺图之间的运算。
5.时序逻辑状态化简
掌握确定状态逻辑系统的状态化简;
了解不完全确定状态逻辑系统的状态化简。
第四章:集成逻辑门
1. 了解TTL“与非”门电路的简单工作原理;
2. 熟悉TTL“与非”门电路的外特性:电压传输特性及几个主要参数,输出高电平,输出低电平、噪声容限、输入短路电流、扇出系数和平均传输延迟时间。
3. 熟悉集电集开路“与非”门(OC门)和三态门逻辑概念,理解“线与”的概念;
4. 掌握CMOS“与非”门、“或非”门、“非”门电路的形式及其工作原理。
5. 熟练掌握与、或、非、异或、同或的逻辑关系。
7.掌握R-S、J-K、D、T触发器的逻辑功能、特征方程、状态转换图、状态转换真值表。不要求深入研究触发器的内部结构,只要求掌握它们的功能,能够正确地使用它们;
8.了解触发器直接置 “0”端RD和直接置“1”端SD的作用。
9.了解边沿触发器的特点;
10.熟悉触发器的功能转换。
11.了解施密特电路、单稳态电路的功能用途;
212.了解ROM、PROM、EPROM,EPROM有何不同;
13.能用PLD(与或阵列)实现函数
第五章: 组合逻辑电路
1、熟悉组合逻辑电路的定义;
2、掌握组合电路的分析方法:根据电路写出输出函数的逻辑表达式,列出真值表,根
据逻辑表达式和真值表分析出电路的路基功能。
3、掌握逻辑电路的设计方法:根据设计要求,确定输入和输出变量,列出真值表,利
用卡诺图法化简逻辑函数写出表达式,画出电路图。
4、掌握常用组合逻辑部件74LS283)、74LS85)、74LS138)、四选一数据选择器和八选
一数据选择器74151的应用(利用138译码器、八选一数据选择器实现组合逻辑函数等)。
5、了解组合电路的竞争与冒险。
第六章: 同步时序电路
1. 了解时序电路的特点(定义);
2. 记住时序电路的分析步骤,掌握时序电路的分析方法,能够较熟练地分析同步时序
电路的逻辑功能。
3. 记住时序电路的设计步骤,掌握时序电路的设计方法,会同步时序电路的设计(含状
态化简)。
第七章: 常用时序逻辑部件
4. 了解常用的时序逻辑部件,如各种计数器(74LS161、74LS163、74LS193)、移位寄
存器(74LS194)及寄存器;不要求详尽的去研究其内部电路,但能够应用时序逻辑部件构成给定的逻辑功能。
5. 会看时序逻辑部件及组合逻辑部件的功能表,根据功能表掌握其逻辑功能、典型应
用及功能扩展
6. 掌握掌握连成任意模M同步计数器的三种方法:预置法,清0法,多次预置法;
7. 掌握序列码发生器的设计过程
第八章 了解A/D,D/A转换的基本原理。
思考题
1. BCD码的含义是什么?
2. 数字电路的特点是什么?
3. 三态门的特点是什么,说明其主要用途?
4. OC门的特点是什么,说明其主要用途?
5. TTL集成逻辑门的基本参数有哪几种?
6. 什么是“与”逻辑关系、“或”逻辑关系、“非”逻辑关系?
7. 什么是“同或”逻辑关系、“异或”逻辑关系?
8. 简化逻辑函数的意义是什么?
9. 几种数制如何进行相互转换?
10. 怎样取得二进制数的原码、反码和补码?
11. 将十进制数125编写成8421BCD码和余3BCD 码;
12. 什么是最小项及最小项表达式?
13. 怎样用代数法化简逻辑函数?
14. 怎样用卡诺图法化简逻辑函数?
简化后的逻辑表达式是。
A.唯一B.不唯一
C.不确定D.任意。
15. 什么是组合电路?什么是时序电路?各自的特点是什么?
16. 组合电路的表示形式有几种,是哪几种?
17. 组合电路的分析步骤是什么?
18. 组合电路的设计步骤是什么?
19. 半加器与全加器的功能有何区别?
20. 译码器、编码器、比较器如何进行级联?
21. 如何用数据选择器实现逻辑函数?
22. 竞争与冒险的起因是什么?
23. D触发器与J-K触发器的特征方程和状态转换图是什么?
24. 如何用J-K触发器实现T触发器?
25. 什么是同步时序电路和异步时序电路?其特点是什么?
26. 同步时序电路的分析步骤是什么?
27. 同步时序电路的设计步骤是什么?
28. 全面描述时序电路的方程有几个?是哪几个?
29. 状态化简的意义是什么?怎样进行状态化简?
30. 怎样用中规模同步集成计数器设计任意模值计数器?
31. 怎样用移位寄存器构成环形计数器?
32. 什么是ROM?什么是RAM?
33. PLD、PLA、GAL、PAL,FPGA、CPLD的含义是什么?
34. 画出ADC工作原理框图,写出三种ADC电路的名称。
35. 计算R-2R网络DAC的输出电压。
36. 欲将正弦信号转换成与之频率相同的脉冲信号,应用
篇12:脉冲与数字电路实验总结
一、实验教学存在的问题
目前, 就数字电路课程实验教学体系而言, 实验课程已独立于理论课程, 但实验教学尚存在以下一些问题:一是“二多二少”现象, 即经典实验多, 现代型实验少;验证型、认识型实验多, 综合型、设计型实验少。二是教师讲解内容过于详细, 学生被动接受知识。学生过度依赖实验指导书, 缺乏对学生独立分析问题、解决问题能力的培养。三是实验考核成绩所占总成绩比重较低, 部分学生对实验不重视, 抱着“可做可不做”的态度, 达不到预期效果。
二、设计性实验的内涵
设计性实验与一般的实验不同, 是在学生掌握了一定的理论知识, 完成了一些基础实验, 具备了一定的实验能力之后进行的教学实践活动。学生利用所学知识, 发挥自己的创造性和创新性, 自己设计方案完成实验。
数字电路设计性实验是一种较高层次的实验教学, 是结合数字电路课程和其它学科知识进行电路设计, 培养学生电子系统设计能力、创新能力的有效途径, 具有综合性、创新性和探索性。一个好的设计性实验, 可以检验学生综合应用知识的熟练程度, 更可以激发和培养学生学习的兴趣, 给学生提供一个思考空间和选择余地, 使学生由被动学习转换为主动钻研。
三、设计性实验的体系
数字电路设计性实验的实施离不开教师的指导, 但是教师在这里扮演的只是配角, 学生才是真正的主角。学生要根据老师给定的任务, 利用已有的实验条件和器材, 自行查阅文献、设计方案、调试电路等, 从而激发学生的创新思想。
数字电路设计性实验的流程是:任务的提出、实验方案的制定、实验方案可行性论证、实验方案的修改、电路的测试、电路的安装、实验结果的分析等。数字电路设计性实验有不同的形式和要求。从形式上分为基础性设计实验、提高性设计实验和综合设计性实验。学生可利用所学知识和实验室提供的芯片进行电路设计, 还可以利用EDA技术对电路进行优化仿真设计。
基础性设计实验是课程中安排的教学实验, 学生在完成了原理性和验证性试验之后, 可依据数字电路的基本原理设计一些较为简单的电路, 学生依据老师给出的题目, 利用实验室的试验箱和电子元器件, 自行制定实验方案、完成逻辑运算式、搭建实验电路等, 在规定时间内完成题目, 写出实验报告。如表1所示, 基础性设计实验主要是让学生熟悉组合逻辑电路和时序逻辑电路的逻辑功能及典型应用, 奠定学生的设计基础。
提高性设计实验是班里每个学生必须要完成的项目, 它要求学生具有丰富的理论知识和实验技能, 还要有查阅文献的能力。如表2所示, 学生根据教师给出的题目自行选择一个设计完成, 教师提供必要的技术指导;实验室提供设备、器材和场地, 要求学生在指定时间内完成。
综合设计性实验为选做题目, 主要面向理论知识丰富、实验技能娴熟并且知识面宽的同学。实验内容主要是结合工程实际需要和电子设计大赛的题目。如表3所示通过综合创新性实验, 能强化学生电路设计能力, 挖掘学生的潜能, 也为选拔学生参加全国大学生电子设计大赛做准备。2013年9月, 我们指导三名学生参加全国大学生电子设计大赛河北省赛区的比赛获得一等奖。
四、保障设计性实验实施的条件
为保证设计性实验的顺利实施, 实验室定期面向学生开放;为了激发学生的学习积极性, 成立了电子创新设计协会;最大限度地调动学生的学习积极性。完善了教师考核奖励机制。凡是带学生参加技能大赛获奖的教师, 学校都会给予奖励, 并且在职称评定量化得分时给予加分奖励。最大限度地调动了教师积极性。
五、结语
通过开设数字电路设计性实验教学, 不仅加强了学生的电子系统设计能力、制作能力和工程实践训练, 还促进了不同层次学生的实践能力、创新能力、写作能力。教师的专业水平、科技创新能力、学术水平也得到了提高。
参考文献
[1] .邵兴国, 狄晓明.略论综合性设计性实验的内涵及特点[J].高校实验室工作研究, 2008
篇13:中职数字电路实验教学的思考
关键词:中职学校 数字电路 实验教学
一、 引言
数字电路实验课程的开展不仅可以提高学生的思维能力、创造能力,更重要的是为电子信息行业提供更多优质的人才。随着大规模集成电路、EDA技术、可编程器件的高速发展,国家和社会对数字电路这方面的人才需要越来越高。但是从目前我国整体教学水平来看,数字电路实验教学质量并不高,受到诸多条件的影响,导致数字电路实验教学效果和质量不高。为顺应当今社会的飞速发展,培养综合素质高的新一代人才,对中职学校数字电路实验教学进行了剖析,为激发学生学习的主动性、营造积极良好的课堂氛围、提高教学质量奠定基础。
二、强化中职数字电路实验课程的重要性
传统的数字电路实验课程与理论课合为一门课程,且实验成绩仅仅占数字电路课程总成绩的15%,这就使得中职学生对数字电路实验课程的重视程度低,对学生实验能力和教学质量的提高造成了抑制作用。另外,中职生的文化知识基础普遍薄弱,思维方式传统单一,对数字电路实验这门动手性、思维能力以及创造能力要求较高的课程来讲,他们往往遇到稍微复杂的问题就会产生畏难情绪,对自身的发展十分不利。再加上数字电路实验课教学中,老师往往就是让学生进入实验室,将实验的题目和内容告知后学生按部就班的进行,最终得出结果填写表格,记录好数据,那么实验就完成了。这样难以开发学生的创新思维,久而久之学生便会产生厌学情绪,严重影响了教学质量。
为提高中职数字电路实验课程的教学质量,使学生认识到实验课程的重要性,激发学生的学习积极性和主动性,提升学生的动手能力、思维能力以及创新能力,必须强化中职数字电路实验课程教学,将数字电路实验设为一门独立课,引起学生们的重视。另外还应该对教学模式和教学内容进行创新,打破传统两位老师共同授课的模式,避免学生因理论老师和实验老师的教学思路与模式不同而产生不良的影响。因此实验课程独立设课后,主讲老师应该由实验老师来承担,根据中职生的文化水平,加强实验原理和步骤的引导,重点强调实验器材的使用,这样有利于激发学生的积极性和创造性,使学生顺利完成实验。
三、 加强中职数字电路实验教学的改革
(一) 教学形式的改革
(1)数字电路理论教学
数字电路理论课教学比较枯燥乏味,由于中职生的耐心较差,一小阶段讲解后学生注意力往往就不能集中了,出现了玩手机、睡觉、看其他课外书的现象,不愿意积极配合老师完成教学任务。这主要是因为中职生渴望学到生动、形象、有趣的东西,对理论性太强的知识毫无兴趣可言,难以提高教学质量。因此必须在数字电路理论教学过程中,营造出轻松、愉快的教学氛围,让学生真的“活”起来。比如在对多功能函数发生器数字电路课程设计的讲解中,老师可以把设计多功能函数发生器相关的设计问题列在多媒体投影仪上:①设计的多功能函数发生器有哪些功能?②实现这些功能需要什么芯片?③它的逻辑原理是什么?④数字电路图应该怎么设计?⑤你能代表你们小组上台讲述吗?这些问题可以通过抢答或者自愿的方式,让学生能较快的融入在教学当中。
(2) 使实践性实验取代验证性实验
目前中职学校数字电路实验教学往往以验证性为主,能强化学生对基础知识的理解与消化。但是随着电子信息技术的飞速发展,我们不能完全停留在实验验证阶段,实验教学的知识往往具有一定的层次性和相关性,因此老师需要设计出更多具有递进型的问题,引导学生进行正确的实验,使其能更好的掌握知识,提升能力。比如在对555定时器进行实验教学时,首先老师应该让学生明白555集成定时电路结构和工作原理,掌握555集成定时电路的基本应用等知识。如图1所示为555定时器内部框图与引脚排列。老师在设计问题时,应该循序渐进,保持前后联系,逐渐加深。比如①利用555定时器设计出楼道灯光定时?②利用555设计出闪烁灯,保持其闪烁频率为1HZ?③利用555设计两种频率声音的门铃?这几个任务前后相连,比较符合学生发展和认知的规律,能最大程度激发学生的学习积极性与创造性,提高教学质量。
图1 555定时器内部框图与引脚排列(二) 教学内容的改革
(1)基础性试验
基础性实验主要以数字电路典型的案例为主,提高学生对基础知识的理解。比如在对典型实例多功能数字钟功能设计时,根据VHDL的特点,设计人员无需对固定功能的标准芯片进行考虑,直接从实现系统功能出发,建立多功能数字钟系统模块,如图2所示。本系统主要采用分底层和顶层两个层次设计,采用VHDL编写各个功能模块,通过输入原理图方式对各模块间的连接关系进行描述。通过该案例的分析,可以对EDA技术知识有了一个清晰、全面、系统的认识。
图2 多功能数字钟系统模块示意图(2) 设计性实验
设计性实验是对学生动手能力、创新能力的体现。在这个环节,数字电路实验课程可以把生活中的實际应用作为课题,让学生融入生活、观察生活,使其体会到知识的实用性。在实验设计过程中,学生不能一味的求助老师,可以借助网络和图书馆中的大量资料,设计出电路图和相关芯片,最后可以让老师进行引导、点评,使实验更加完美。在这个环节教学质量提升的效果是十分明显的,不仅让学生学习到了专业知识,也学到了书本外的知识。
(3) 大型实验
虽然中职生的文化基础普遍薄弱,但是其中不缺少一些水平和能力较高的同学。这部分学生除了完成平时的数字电路实验教学任务外,还可以参加市级省级乃至全国性的比赛,这样的实验往往要求学生从选题—设计方案—设计电路图—购买元器件—组装—进行实验—撰写报告等环节必须独立完成,这样不仅可以提高学生的创新能力和动手能力,还能为后期进入社会、走上工作岗位创造有利的条件。
(三)实验成绩考核方式的改革
传统数字电路实验成绩考核方式由平时上课出勤率和实验报告完成情况组成,由于学生在实验报告中存在严重抄袭的行为,老师难以对学生真正的水平进行衡量。因此必须对成绩考核方式进行改革,平时成绩占60%,期末卷面成绩占40%,两部分组成实验课程的期末总成绩。在对卷面考试题目进行设置时,可以把学生平时操作过的所有实验项目进行汇总,考试时由学生抽签决定,确保考试的公平性,这样也可以调动学生平时实验操作的积极性和主动性。
四、 结束语
在教育体系不断改革与创新的条件下,中职学校和老师应该根据中职生文化、能力的实际情况,优化教学模式和教学内容,增强课堂的趣味性,营造积极向上、轻松愉快的课堂氛围。在加强数字电路理论教学的同时,更重要的是提高实验的实践性,培养学生的动手能力、思维能力和创造能力,同时对课程成績考核方式进行改革,充分调动学生平时实验操作的积极性,最终形成一种科学、高效的实验教学新模式,促进我国中职学校数字电路实验教学质量与水平的提升。
参考文献:
[1]刘彦飞,代永红,张荣.EDA软件在电路实验教学中的应用[J].长江大学学报(自然版),2011,08(7).
[2]莫琳.数字电路课程设计实验教学改革的探索与实践[J].中国电力教育,2013,(14).
[3]张菁.EDA仿真在数字电路教学中的应用研究[J].魅力中国,2009,(22).
[4]曹维.数字电路与逻辑设计"实验教学改革探索与实践[J].计算机教育,2009,(15).
[5]蒋媛.通过数字电路实验教学培养学生综合能力的探讨[J].科技信息(科学·教研),2008,(3).
【脉冲与数字电路实验总结】相关文章:
脉冲数字电路的电磁兼容性设计09-12
《数字电路》实验教学改革的探索11-09
模拟电路与数字电路05-07
任务驱动法在数字电路实验中的应用01-04
浅谈《数字电路与逻辑设计》教学改革09-10
数字电路与逻辑设计课程教学改革的探索09-11
数字电子钟逻辑电路设计总结报告05-05
混沌系统的脉冲控制与同步研究12-05
磁脉冲信号发生器的检查与调整04-30