fpga芯片配置

2022-06-21

第一篇:fpga芯片配置

FPGA调研报告-FPGA在航天领域的应用

FPGA技术调研:FPGA在航天领域的应用

1.引言

现 场 可 编 程 门 阵 列 ( Field programmable gatearrays, FPGA) 是一种可编程使用的信号处理器件,用户可通过改变配置信息对其功能进行定义, 以满足设计需求。 与传统数字电路系统相比, FPGA 具有可编程、 高集成度、 高速和高可靠性等优点, 通过配置器件内部的逻辑功能和输入/输出端口, 将原来电路板级的设计放在芯片中进行, 提高了电路性能,降低了印刷电路板设计的工作量和难度, 有效提高了设计的灵活性和效率。 设计者采用 FPGA 的优点:

(1) 减少对所需器件品种的需求, 有助于降低电路板的体积重量;

(2) 增加了电路板完成后再修改设计的灵活性;

(3) 设计修改灵活, 有助于缩短产品交付时间;

(4) 器件减少后, 焊点减少, 从而可提高可靠度。尤其值得一提的是, 在电路运行频率越来越高的情况下, 采用 FPGA 实现的复杂电路功能减小了板级电路上 PCB 布线不当带来的电磁干扰问题, 有助于保证电路性能。

FPGA 也 是 现 阶 段 航 天 专 用 集 成 电 路 (ASIC, Application specific integrated circuit) 的最佳实现途径。 使用商用现货 FPGA 设计微小卫星等航天器的星载电子系统, 可以降低成本。 利用 FPGA 内丰富的逻辑资源, 进行片内冗余容错设计, 是满足星载电子系统可靠性要求的一个好办法。目前, 随着对卫星技术的不断发展、 用户技术指标的不断提高以及市场竞争的日益激烈, 功能度集成和轻小型化已经成为星载电子设备的一个主流趋势。 采用小型化技术能够使星载电子设备体积减小、 重量减轻、 功耗降低, 提高航天器承载有效载荷的能力以及功效比。 采用高功能集成的小型化器件, 可以减小印制板的尺寸, 减少焊盘数量, 还有利于充分利用冗余技术提高系统的容错能力。 星载数字电路小型化的关键是器件选用, 包括嵌人式高集成度器件的选用,其中, 高密度可编程逻辑器件 FPGA 的选用是一个重要的实现方式。

目前, 在航天遥感器的设计中, FPGA 被广泛地应用于主控系统 CPU 的功能扩展CCD 图像传感器驱动时序的产生以及高速数据采集。本文回顾了 FPGA 的发展, 分析了其主要结构,并对航天应用 FPGA 进行了综述。 指出了航天应用对FPGA 及其设计的要求, 重点分析了空间辐射效应对FPGA 可靠性的影响, 并总结了提高 FPGA 抗辐照的可靠性设计方法。 最后, 对航天应用 FPGA 的发展进行了展望。

2. FPGA 航天应用

可编程逻辑器件以其设计方便、 设计便于修改、功能易于扩展, 在航天、 空间领域中得到了越来越广泛的应用。 一种是以 Actel 公司产品为代表的一次编程反熔丝型 FPGA, 一种是以 Xilinx 公司产品为代表的基于 SRAM 的可重新配置的 FPGA。

2.1 航天应用 FPGA 的分类 FPGA 按其编程性, 目前具有航天成功应用经验的 FPGA 主要有两类: 一类是只能编程一次的一次性编程 FPGA。 另一类是能多次编程的可重编程 FPGA,如 SRAM 型 FPGA、 Flash 型 FPGA, 这类 FPGA 一般具有在系统编程 (ISP, In system programming) 能力。

2.1.1 一次性编程 FPGA 此类产品采用反熔丝开关元件, 具有体积小、版图面积小、 低抗辐射抗干扰、 互连线特性阻抗低的特点, 不需要外接 PROM 或 EPROM, 掉电后电路的配置数据不会丢失, 上电后即可工作, 适用于航天、 军事、 工业等各领域。 这类产品中, 具有代表性并已取得航天应用成功经验的产品是 ACTEL 公司的抗辐射加固反熔丝型 FPGA。与传统 FPGA 平面型散布 的 逻 辑 模 块 、 连 线 、开关矩阵的布局不同, 反熔丝型 FPGA 采用紧凑、 网格化密集布局的平面逻辑模块结构。 利用位于上下逻辑模块层之间、 金属对金属的可编程反熔丝内部连接元件实现器件的连接, 减小了通道和布线资源所占用的空间。 在编程之前, 该连接元件为开路状态, 编程时, 反熔丝结构局部的小区域内具有足够高的电流密度, 瞬间产生较大的热功耗, 融化绝缘层介质形成永久性通路。

2.1.2 可重编程 FPGA 此类产品采用 SRAM 或 Flash EPROM 控制的开关元件, 其优点是可反复编程。 配置程存放在 FPGA外的存储器中, 系统上电时, 配置程加载到 FPGA中完成硬件功能的定制化。 其中, SRAM 型 FPGA 还可以在系统运行中改变配置, 实现系统功能的动态重构。 但是, 此类 FPGA 掉电后存储的用户配置逻辑会丢失, 只能上电后重新由外部存储器加载。 FlashEPROM 型 FPGA 具 有 非 易 失 性 和 可 重 构 的 双 重 优点, 但不能动态配置, 功耗也比 SRAM 型 FPGA 高。此类 FPGA 由于配置数据存储在 FPGA 内 的 SRAM存储器中, 可编程逻辑开关采用多路选择器实现,内部逻辑功能采用基于 SRAM 结构的查找表实现 ,这些部位都属于单粒子翻转效应敏感型半导体结构。因此, 在航天应用中要特别注意。 具有代表性的、并取得航天应用成功经验的产品是 Xilinx 公司的基于SRAM 型 Virtex 系列的 FPGA 产品。

2.2 FPGA 航天应用现状

FPGA 在国内外的航天、 空间领域, 特别是商用卫星得到了广泛的应用。 据统计, 在国内外深空探测、 科学及商用卫星共 60 个项目中都用到了 FPGA,军用卫星项目中也有多个项目用到 FPGA。

2.2.1 Acte FPGA 的航天应用

Actel 的耐辐射和抗辐射 FPGA 自从在 1997 年火星探路者 (Mars Pathfinder) 以及随后的勇气号、 机遇号任务中取得成功后, 其 FPGA 继续用于 NASA、ESA 的火星探测任务。 Actel 的耐辐射和抗辐射器件用于火星探测器的控制计算机, 执行从地球到火星6 个月飞行的导航功能。 在火星探索者漫游器 (ExplorerRover) 的照相机、 无线通信设备中均采用了 Actel 器件。 ESA 的火星快车轨道卫星中, 固态记录器使用了 20 多个 Actel FPGA 器件。Actel 公 司 的 FPGA 器 件 已 用 于 德 国 航 天 领 域 (DLR) 双光谱红外探测 (BIRD) 卫星中。 BIRD 是全球首个采用红外传感器技术的卫星, 以探测和研究地球上的高温事件, 如森林山火、 火山活动、 油井和煤层燃烧等。 超过 20 个高可靠性 FPGA 用干卫星有效载荷数据处理、 存储器管理、 接口和控制、 协处理以及红外摄影机的传感器控制等多个关键性功能中。

2.2.2 Xilinx FPGA 的航天应用

同 ACTEL 相比, Xilinx 公司用于航天、 空间领域的产品研制较晚, 但是, 其功能强大、 性能高、可重新配置的民用塑封产品向宇航级产品的过渡、全面提高抗空间辐射能力, 逐渐成为空间电子产品设计中常用的 FPGA 产品, 并将获得越来越广泛的应用。 Xilinx 的 Virtex 耐辐射 FPGA 被用于 2003 年发射的澳大利亚的军民混用通信卫星 Optus CL, 在卫星的 UHF 有效载荷中, Xilinx Virtex FPGA (XQVB300)用来实现地球数据的信号处理算法, 并使用了 Xilinx提供的 IP 核。

Xilinx 的加固 FPGA XQR4062XL 被用于 2002 年发射的澳大利亚科学卫星 Fedsat (联合卫星, 用于研究磁层) 的高性能计算有效载荷。 HPC-1 是第一例在星载计算机系统的标准运行中采用 FPGA 实现了可配置计算技术 RCT。 目前正在开发的 RHC-II 将使用Xilinx FPGA 实现星上数据处理。

此 外 , GRACE ( NASA) 的 敏 感 器 中 使 用 了XQR4O36XL 产品。

在火星探测漫游器 Discovery 和 Spirit 中都成功应用了 Xilinx FPGA 产品。 两片宇航 FPGA VirtexTMFPGA XQVR100O 被用于火星漫游器车轮电机控制、机械臂控制和其他仪表中, 4 片耐辐照 4000 系列的FPGA XQR4062XL 用于控制火星着陆器的关键点火设备, 保证着陆器按规定程序下降及成功着陆。欧洲第一个彗星轨道器和着陆器 ROSETTA 上总共有 45 片 FPGA, 都选用 ACTEL RT14I00A, 承担了控制、 数据管理、 电源管理等重要功能, 并且飞行中任何一片 FPGA 都不得断电。

Xilinx 最新发布的 Virtex-5QVFPGA 具有非常高的抗辐射性, TID 耐性为 700 kraD 以上, SEU (Sin-gle Event Upset, 单粒子翻转) 闩锁 (Latch Up) 耐性超过 100 MeV·cM2/Mg, 主要面向人造卫星和宇宙飞船上的遥感处理、 图像处理以及导航仪等用途。 因此,基于 FPGA 系统构成无需为了辐射措施而增加冗余,可以削减系统开发所需要的时间和成本。 其规模也达到了 13 万个逻辑单元, 集成了最高速度为 3.125 Gbit/s的高速收发器, 并强化了 DSP 功能, 作为航天领域用 FPGA 中属业界最高水准。

3. 辐射效应及其影响

航天、 空间电子设备由于其所处的轨道以及使用环境的不同, 受到的辐射影响也不相同。 从总体上来说, 对 FPGA 影响比较大的辐射效应主要有: 总剂量效应 ( TID: Total ionizing Dose)、 单粒子翻转 (SEU: Single event upset)、 单 粒 子 闩 锁 ( SEL: Single event latchup)、 单粒子功能中断 ( SEFI: Single event func-tional interrupt) 、 单 粒 子 烧 毁 ( SEB: Single eventburnout)、 单 粒 子 瞬 态 脉 冲 ( SET: Single event tran-射效应产生的机理不尽相同, 引起 FPGA 的失效形式也不同。 总剂量效应: 光子或高能离子在集成电路的材料中电离产生电子空穴对, 最终形成氧化物陷阱电荷或者在氧化层与半导体材料的界面处形成界面陷阱电荷, 使器件的性能降低甚至失效。 单粒子翻转: 具有一定能量的重粒子与存储器件或逻辑电路 PN 结发生碰撞, 在重粒子运动轨迹周围形成的电荷被灵敏电极收集并行成瞬态电流, 如果电流超过一定值就会触发逻辑电路, 形成逻辑状态的翻转。 单粒子翻转敏感区域是指 FPGA 中易于受到单粒子效应影响的区域, 包括 FPGA 的配 置 存 储 器 、DCM、 CLB、 块存储区域。

单粒子闩锁: CMOS 器件的 PNPN 结构成了可控硅结构。 质子或重粒子的入射可以触发 PNPN 结导通, 进入大电流再生状态, 产生单粒子闩锁。 只有降低电源电压才能退出闩锁状态。 单粒子功能中断: 质子或重粒子入射时引起器件的控制逻辑出现故障, 进而中断正常的控制功能。FPGA 中单粒子功能中断的敏感部分为配置存储器、上电复位电路、 SelectMAP 接口和 JATAG 接口。

单粒子烧毁: 入射粒子产生的瞬态电流导致敏感的寄生双极结晶体管导通。 双极结晶体管的再生反馈机制造成收集结电流不断增大, 直至产生二次击穿, 造成漏极和源极的永久短路, 烧毁电路。 FPGA发生单粒子烧毁的概率较小。 单粒子瞬态脉冲: 带电粒子入射产生的瞬态电流脉冲影响到下一级逻辑电路的输入, 造成该逻辑电路输出紊乱。 单粒子瞬态脉冲可能引起 FPGA 内部逻辑电路的短时错误。 单粒子瞬态脉冲对于<0.25 μM 工艺的 FPGA 影响较大。

位移损伤: 单粒子位移损伤是单个粒子入射引起晶格原子移位、 形成缺陷群、 引起的永久性损伤。

上述辐射效应对 FPGA 造成的影响有的是永久性的, 如总剂量效应、 单粒子烧毁、 位移损伤; 有的是能够恢复的, 如单粒子翻转、 单粒子功能中断、 单粒 子 瞬 态 脉 冲 。 以 上 单 粒 子 效 应 中 SEL、 SEB 和SEGR 均有可能对器件造成永久性损伤。 因此, 一般星上系统都会采用抗 SEL 的器件。 SEU 和 SET 虽然是瞬时影响, 但其发生率远高于以上 3 种, 反而更应引起重视。 接下来根据对上述辐射影响的分析, 研究提高 FPGA 抗辐射效应的可靠性设计方法。

随着 SRAM 型的 FPGA 随 着 工 艺 水 平 的 提 高 、规模的增大和器件核电压的降低, 抗总剂量效应性能不断提高, 但是更容易受 SEU 和 SET 的影响。 针 对 单 粒 子 效 应 的 问 题 , MAPLD、 NSREC、RADECS 会议提交的报告认为, Virtex-II 系列产品抗总 剂 量 辐 射 能 力 达 到 200 krad, 抗 SEL 的 能 力 为LET 160 MeV·cm /mg 以下无闩锁, 同时, 需要考虑SEU、 SET、 SEFL 等单粒子效应

4. 航天应用 FPGA 的可靠性设计

在航天、 空间电子设备中, FPGA 主要用于替换标准逻辑, 还用于 SOC 技术, 提供嵌人式微处理器、存储器 、 控制器 、 通信接口等 。 其中 , 可靠性是FPGA 设计的主要需求。

根据功能及其重要性的不同, 空间电子系统设计分为关键与非关键两大类, 航天器控制为关键类,科学仪表为非关键类。 航天器控制系统对 FPGA 的一般需求: 高可靠、 抗辐射加固和故障安全。 科学仪器对 FPGA 的设计要求一般为高性能、 耐辐射和失效安全, 其可靠性则是由性能需求决定的, 对 FPGA 的需求也因系统而异, 如测量分辨率、 带宽、 高速存储、 容错能力等。 航天用 FPGA 的可靠性设计主要通过器件自身的硬件设计以及软件设计来实现。 4.1 FPGA 的硬件可靠性设计

FPGA 的硬件可靠性设计主要是针对空间辐射效应的影响, 借助制造工艺和设计技术较为彻底地解决了单粒子效应防护问题。 一般从以下几个方面进行设计[6]: FPGA 整体设计加固、 内部设计间接检测辐射效应的自检模块、 引入外部高可靠性的监测模块。

整体加固设计是指在电子设备的外面采用一定厚度的材料进行整体辐射屏蔽, 减少设备所受的辐射效应, 经常采用的材料有铝、 钽和脂类化合物等。这种方法在航天电子元器件中使用较多, 也比较成熟。 例如, 作为美国军用微电子产品主要供应商的Honeywell, 加固 ASIC 技术覆盖范围宽。 Aeroflex 采用 “设计加固、 商用 IC 工艺线流片” 的方式提供性能先进的加固 ASIC 产品, 具备数模混合加固 ASIC的研制能力。 这种采用商业线流片生产军用和加固微电子产品的技术线路, 既有利于摆脱工艺加固对器件发展的约束, 又有利于满足用户对先进加固器

件的需求, 降低成本, 缩短供货时间。 Atmel 为用户提供了高性能、 小尺寸、 低功耗的各类器件的工艺资源, 包括用于航天的耐辐照高速、低 功 耗 数 模 混 合 CMOS 工 艺 以 及 内 嵌 EEPROM 的CMOS 工艺。 国内从事军用微电子器件研制的单位很多, 包括国有科研单位和非国有 IC 研制公司。 但是,能够完成抗辐照加固 IC 研制的单位并不多。 国内自行研制的加固 ASIC 产品已经在卫星中得到了成功应用。 采用体硅外延层, 也可以防止发生 SEI。 例如, Xilinx 的 virtex-II 耐辐射产品是在军品等级器件的基础上进一步采用外延衬底设计, 抗总剂量电离效应能力按照 MIL-STD-883 Method 1019 进行批次采样考核。 自检模块的目的是通过某些模块的正常运行来预测整个 FPGA 运行的正常性。 自检模块由分布在FPGA 重要布线区域附近的简单逻辑电路实现, 也可以由多模冗余模块表决结果或者余数检测法以及奇偶校验法等其他产生的结果直接提供输出。 4.2 FPGA 的软件可靠性设计

航天应用 FPGA 的软件可靠性设计是指应用软件程序配置来屏蔽辐射效应造成的运行失常。 其中,冗余设计方法是被公认为比较可靠的对付辐射效应的方法。 常用的冗余设计有三模冗余法(TMR, Triplemodule redundancy) 和部分三模冗余法 (PTMR, Partialtriple module redundancy)。 虽然 TMR 能够提高系统的可靠性, 但也会使模块速度降低、 占用资源和功率增加。 综合考虑其他设计指标, 可以根据实际情况对关键部分使用部分三模冗余法。 冗余结构尽管可以保证系统可靠性, 但却不能及时发现并纠正错误, 或为发现错误而引入了过多的组合逻辑, 当应用于 FPGA 时, 增加了容错电路自身出错的可能性。 除此之外, 星载系统无人值守的运行特点使得系统重构与故障恢复也非常困难。

对配置存储器的回读校验和重配置[6] (或局部重配置) 是一种有效的抵抗辐射效应的方法, 通过对部分配置的重加载能够修复 SEU 效应造成的影响, 其频率应是最坏情况 SEU 效应发生率的 10 倍。 在重加载逻辑设计中, 需要对重加载的实现方式、 加载内容进行仔细设计, 并不是所有的内容都可以重加载,也不是所有的内容都需要重新配置。

在系统设计中, 采用高可靠性的反熔丝 FPGA负责从非易失大容量存储器中读取 Xilinx FPGA 的配置数据对其进行配置。 在运行期间, 对最容易受辐射效应影响的配置存储器按列进行读操作, 然后与标准数据进行比对 , 对出现错误的列进行局部重配置。 FPGA的可编程IO也容易受到辐射粒子影响产生 SEU 和 SEL。 对输入输出脚设计三模冗余设计方法是一种非常有效方法, 但是这种方法将需要占用 3 倍的 I/O 资源。 如果 SET 作用在时钟电路或者其他数据、 控制线上容易产生短脉冲抖动, 有可能会造成电路的误触发或者数据锁存的错误, 在设计时可采用同步复位设计内部复位电路、 控制线使能信号线, 逻辑数据在锁存时尽可能配合使能信号。

5. FPGA 航天应用发展趋势

目前, 在深微亚米半导体工艺下, 传统的 FPGA设计技术在器件良率、 功耗、 互联线延时、 信号完整性、 可测性设计等方面面临挑战[9]。 基于传统技术的 FPGA 仍然在向高密度、 高性能、 低功耗的方向发展, 使得 FPGA 从最开始的通用型半导体器件向平台化的系统级器件发展。 基于异步电路的 FPGA 设计、3D 集成技术、 新型半导体结构的应用将是 FPGA 技术发展的热点。 航天、 空间应用方面, 国外航天对 FPGA 空间应用的总结和预测分析表明, 空间应用对 FPGA 选用呈现出以下趋势:

(1) 器件工作电压从 5 V 变为 3.3 V、 2.5 V 甚至l.8 V; (2) 从使用总剂量加固 FPGA 发展到使用耐总剂量 FPGA 产品;

(3) 从 SEU 敏感寄存器 FPGA 的应用发展为使用内建寄存器 TMR 结构的 FPGA; (4) 从只使用一次编程的反熔丝型 FPGA 发展为使用基于 SRAM/EEPROM 的可重置型 FPGA。 这种选用趋势带来的突出问题是: 从寄存器对SEU 敏感变为 FPGA 对 SEU 敏感; 配置存储 FPGA的设计复杂性已经同 ASIC 的复杂程度相当。

6.结论

本文对航天应用中 FPGA 的使用进行了 综 述 。分析了 FPGA 的结构特点, 针对航天、 空间环境的辐照条件, 分析了航天应用 FPGA 的失效模式及可靠性设计方法。 最后, 对航天应用 FPGA 及其可靠性设计技术的发展进行了展望。

第二篇:FPGA学习步骤

FPGA学习步骤,我的体会

FPGA学习步骤,我的体会

FPGA在目前应用领域非常,在目前的单板设计里面,几乎都可以看到它的身影。从简单的逻辑组合,到高端的图像、通信协议处理,从单片逻辑到复杂的ASIC原型验证,从小家电到航天器,都可以看到FPGA应用,它的优点在这里无庸赘述。从个人实用角度看,对于学生,掌握FPGA可以找到一份很好的工作,对于有经验的工作人员,使用fgpa可以让设计变得非常有灵活性。掌握了fpga的设计,单板硬件设计就非常容易(不是系统设计),特别是上大学时如同天书的逻辑时序图,看起来就非常亲切。但fpga的入门却有一定难度,因为它不像软件设计,只要有一台计算机,几乎就可以完成所有的设计。fpga的设计与硬件直接相关,需要实实在在的调试仪器,譬如示波器等。这些硬件设备一般比较昂贵,这就造成一定的入门门槛,新人在入门时遇到一点问题或者困难,由于没有调试设备,无法定位问题,最后可能就会放弃。其实这时如果有人稍微指点一下,这个门槛很容易就过去。

我用FPGA做设计很多年了,远达不到精通的境界,只是熟悉使用,在这里把我对fpga的学习步骤理解写出来,仅是作为一个参考,不对的地方,欢迎大家讨论和指正。

1、工欲善其事,必先利其器。

计算机必不可少。目前FPGA应用较多的是Altera和xilinx这两个公司,可以选择安装quartusII或者ISE软件。这是必备的软件环境。

硬件环境还需要下载器、目标板。虽然有人说没有下载器和目标板也可学习fpga,但那总是纸上谈兵。这就像谈女朋友, 总是嘴上说说,通个电话,连个手都没牵,能说人家是你朋友?虽说搭建硬件环境需要花费,但想想,硬件环境至多几百元钱, 你要真的掌握FPGA的设计,起薪比别人都不止高出这么多。这点花费算什么?

2、熟悉verilog语言或者vhdl语言 ,熟练使用quartusII或者ISE软件。

VHDL和verilog各有优点,选择一个,建议选择verilog。熟练使用设计软件,知道怎样编译、仿真、下载等过程。

起步阶段不希望报一些培训班,除非你有钱,或者运气好,碰到一个水平高、又想把自己的经验和别人共享的培训老师, 不然的话,培训完后总会感觉自己是一个冤大头。入门阶段可以在利用网络资源完成。

3、设计一个小代码,下载到目标板看看结果

此时可以设计一个最简答的程序,譬如点灯。如果灯在闪烁了,表示基本入门了。如果此时能够下载到fpga外挂的flash,fpga程序能够从flash启动,表明fpga的最简单设计你已经成功,可以到下一步。

4、设计稍微复杂的代码,下载到目标板看看结果。

可以设计一个UART程序,网上有参考,你要懂RS232协议和fpga内置的逻辑分

析仪。网上下载一个串口调试助手,调试一番,如果通信成功了,恭喜,水平有提高。进入下一步。

5、设计复杂的代码,下载到目标板看看结果。

譬如sdram的程序,网上也有参考,这个设计难度有点大。可用串口来调试sdram,把串口的数据存储到sdram,然后读回,如果成功,那你就比较熟悉fpga的设计饿了

6、设计高速接口,譬如ddr2或者高速串行接口

这要对fpga的物理特性非常了解,而且要懂得是时序约束等设计方法,要看大量的原厂文档,这部分成功了,那就对fpga的物理接口掌握很深,你就是设计高手了

7、设计一个复杂的协议

譬如USB、PCIexpress、图像编解码等,锻炼对系统的整体把握和逻辑划分。完成这些,你就是一个一流的高手、

8、学习再学习

学习什么,我也不知道,我只知道“学无止境,山外有山”。

上述只是一些简单的学习步骤,希望能对大家有所帮助!

第三篇:FPGA学习概述

FPGA学习

我常年担任多个有关FPGA学习研讨的QQ群管理员,长期以来很多新入群的菜鸟们总是在重复的问一些非常简单但是又让新手困惑不解的问题。作为管理员经常要给这些菜鸟们普及基础知识,但是非常不幸的是很多菜鸟怀着一种浮躁的心态来学习FPGA,总是急于求成。再加上国内大量有关FPGA的垃圾教材的误导,所以很多菜鸟始终无法入门。

为什么大量的人会觉得FPGA难学?作为著名FPGA提供商Altera授权的金牌培训师,本管理员决心开贴来详细讲一下菜鸟觉得FPGA难学的几大原因。

1、不熟悉FPGA的内部结构,不了解可编程逻辑器件的基本原理。

FPGA为什么是可以编程的?恐怕很多菜鸟不知道,他们也不想知道。因为他们觉得这是无关紧要的。他们潜意识的认为可编程嘛,肯定就是像写软件一样啦。软件编程的思想根深蒂固,看到Verilog或者VHDL就像看到C语言或者其它软件编程语言一样。一条条的读,一条条的分析。如果这些菜鸟们始终拒绝去了解为什么FPGA是可以编程的,不去了解FPGA的内部结构,要想学会FPGA恐怕是天方夜谭。虽然现在EDA软件已经非常先进,像写软件那样照猫画虎也能综合出点东西,但也许只有天知道EDA软件最后综合出来的到底是什么。也许点个灯,跑个马还行。这样就是为什么很多菜鸟学了N久以后依然是一个菜鸟的原因。

那么FPGA为什么是可以“编程”的呢?首先来了解一下什么叫“程”。启示“程”只不过是一堆具有一定含义的01编码而已。编程,其实就是编写这些01编码。只不过我们现在有了很多开发工具,通常都不是直接编写这些01编码,而是以高级语言的形式来编写,最后由开发工具转换为这种01编码而已。对于软件编程而言,处理器会有一个专门的译码电路逐条把这些01编码翻译为各种控制信号,然后控制其内部的电路完成一个个的运算或者是其它操作。所以软件是一条一条的读,因为软件的操作是一步一步完成的。

而FPGA得可编程,本质也是依靠这些01编码实现其功能的改变,但不同的是FPGA之所以可以完成不同的功能,不是依靠像软件那样将01编码翻译出来再去控制一个运算电路,FPGA里面没有这些东西。FPGA内部主要三块:可编程的逻辑单元、可编程的连线和可编程的IO模块。可编程的逻辑单元是什么?其基本结构某种存储器(SRAM、FLASH等)制成的4输入或6输入1输出地“真值表”

加上一个D触发器构成。任何一个4输入1输出组合逻辑电路,都有一张对应的“真值表”,同样的如果用这么一个存储器制成的4输入1输出地“真值表”,只需要修改其“真值表”内部值就可以等效出任意4输入1输出的组合逻辑。这些“真值表”内部值是什么?就是那些01编码而已。如果要实现时序逻辑电路怎么办?这不又D触发器嘛,任何的时序逻辑都可以转换为组合逻辑+D触发器来完成。但这毕竟只实现了4输入1输出的逻辑电路而已,通常逻辑电路的规模那是相当的大哦。那怎么办呢?这个时候就需要用到可编程连线了。在这些连线上有很多用存储器控制的链接点,通过改写对应存储器的值就可以确定哪些线是连上的而哪些线是断开的。者就可以把很多可编程逻辑单元组合起来形成大型的逻辑电路。最后就是可编程的IO,这其实是FPGA作为芯片级使用必须要注意的。任何芯片都必然有输入引脚和输出引脚。有可编程的IO可以任意的定义某个非专用引脚(FPGA中有专门的非用户可使用的测试、下载用引脚)为输入还是输出,还可以对IO的电平标准进行设置。

总归一句话,FPGA之所以可编程是因为可以通过特殊的01代码制作成一张张“真值表”,并将这些“真值表”组合起来以实现大规模的逻辑功能。不了解FPGA内部结构,就不能明白最终代码如何变到FPGA里面去的。也就无法深入的了解如何能够充分运用FPGA。现在的FPGA,不单单是有前面讲的那三块,还有很多专用的硬件功能单元,如何利用好这些单元实现复杂的逻辑电路设计,是从菜鸟迈向高手的路上必须要克服的障碍。而这一切,还是必须先从了解FPGA内部逻辑及其工作原理做起。

2、错误理解HDL语言,怎么看都看不出硬件结构。

HDL语言的英语全称是:Hardware Description Language,注意这个单词Description,而不是Design。老外为什么要用Description这个词而不是Design呢?因为HDL确实不是用用来设计硬件的,而仅仅是用来描述硬件的。描述这个词精确地反映了HDL语言的本质,HDL语言不过是已知硬件电路的文本表现形式而已,只是将以后的电路用文本的形式描述出来而已。而在编写语言之前,硬件电路应该已经被设计出来了。语言只不过是将这种设计转化为文字表达形式而已。但是很多人就不理解了,既然硬件都已经被设计出来了,直接拿去制作部就完了,为什么还要转化为文字表达形式再通过EDA工具这些麻烦的流程呢?其实这就

是很多菜鸟没有了解设计的抽象层次的问题,任何设计包括什么服装、机械、广告设计都有一个抽象层次的问题。就拿广告设计来说吧,最初的设计也许就是一个概念,设计出这个概念也是就是一个点子而已,离最终拍成广告还差得很远。

硬件设计也是有不同的抽象层次,没一个层次都需要设计。最高的抽象层次为算法级、然后依次是体系结构级、寄存器传输级、门级、物理版图级。使用HDL的好处在于我们已经设计好了一个寄存器传输级的电路,那么用HDL描述以后转化为文本的形式,剩下的向更低层次的转换就可以让EDA工具去做了,者就大大的降低了工作量。这就是可综合的概念,也就是说在对这一抽象层次上硬件单元进行描述可以被EDA工具理解并转化为底层的门级电路或其他结构的电路。在FPGA设计中,就是在将这以抽象层级的意见描述成HDL语言,就可以通过FPGA开发软件转化为问题1中所述的FPGA内部逻辑功能实现形式。HDL也可以描述更高的抽象层级如算法级或者是体系结构级,但目前受限于EDA软件的发展,EDA软件还无法理解这么高的抽象层次,所以HDL描述这样抽象层级是无法被转化为较低的抽象层级的,这也就是所谓的不可综合。

所以在阅读或编写HDL语言,尤其是可综合的HDL,不应该看到的是语言本身,而是要看到语言背后所对应的硬件电路结构。如果看到的HDL始终是一条条的代码,那么这种人永远摆脱不了菜鸟的宿命。假如哪一天看到的代码不再是一行行的代码而是一块一块的硬件模块,那么恭喜脱离了菜鸟的级别,进入不那么菜的鸟级别。

3、FPGA本身不算什么,一切皆在FPGA之外

这一点恐怕也是很多学FPGA的菜鸟最难理解的地方。FPGA是给谁用的?很多学校解释为给学微电子专业或者集成电路设计专业的学生用的,其实这不过是很多学校受资金限制,卖不起专业的集成电路设计工具而用FPGA工具替代而已。其实FPGA是给设计电子系统的工程师使用的。这些工程师通常是使用已有的芯片搭配在一起完成一个电子设备,如基站、机顶盒、视频监控设备等。当现有芯片无法满足系统的需求时,就需要用FPGA来快速的定义一个能用的芯片。前面说了,FPGA里面无法就是一些“真值表”、触发器、各种连线以及一些硬件资源,电子系统工程师使用FPGA进行设计时无非就是考虑如何将这些以后资源组合起来实现一定的逻辑功能而已,而不必像IC设计工程师那样一直要关注到最

后芯片是不是能够被制造出来。本质上和利用现有芯片组合成不同的电子系统没有区别,只是需要关注更底层的资源而已。

要想把FPGA用起来还是简单的,因为无法就是那些资源,在理解了前面两点再搞个实验板,跑跑实验,做点简单的东西是可以的。而真正要把FPGA用好,那光懂点FPGA知识就远远不够了。因为最终要让FPGA里面的资源如何组合,实现何种功能才能满足系统的需要,那就需要懂得更多更广泛的知识。

目前FPGA的应用主要是三个方向:第一个方向,也是传统方向主要用于通信设备的高速接口电路设计,这一方向主要是用FPGA处理高速接口的协议,并完成高速的数据收发和交换。这类应用通常要求采用具备高速收发接口的FPGA,同时要求设计者懂得高速接口电路设计和高速数字电路板级设计,具备EMC/EMI设计知识,以及较好的模拟电路基础,需要解决在高速收发过程中产生的信号完整性问题。FPGA最初以及到目前最广的应用就是在通信领域,一方面通信领域需要高速的通信协议处理方式,另一方面通信协议随时在修改,非常不适合做成专门的芯片。因此能够灵活改变功能的FPGA就成为首选。到目前为止FPGA的一半以上的应用也是在通信行业。

第二个方向,可以称为数字信号处理方向或者数学计算方向,因为很大程度上这一方向已经大大超出了信号处理的范畴。例如早就在2006年就听说老美将FPGA用于金融数据分析,后来又见到有将FPGA用于医学数据分析的案例。在这一方向要求FPGA设计者有一定的数学功底,能够理解并改进较为复杂的数学算法,并利用FPGA内部的各种资源使之能够变为实际的运算电路。目前真正投入实用的还是在通信领域的无线信号处理、信道编解码以及图像信号处理等领域,其它领域的研究正在开展中,之所以没有大量实用的主要原因还是因为学金融的、学医学的不了解这玩意。不过最近发现欧美有很多电子工程、计算机类的博士转入到金融行业,开展金融信号处理,相信随着转入的人增加,FPGA在其它领域的数学计算功能会更好的发挥出来,而我也有意做一些这些方面的研究。不过国内学金融的、学医的恐怕连数学都很少用到,就不用说用FPGA来帮助他们完成数学运算了,这个问题只有再议了。

第三个方向就是所谓的SOPC方向,其实严格意义上来说这个已经在FPGA设计的范畴之内,只不过是利用FPGA这个平台搭建的一个嵌入式系统的底层硬

件环境,然后设计者主要是在上面进行嵌入式软件开发而已。设计对于FPGA本身的设计时相当少的。但如果涉及到需要在FPGA做专门的算法加速,实际上需要用到第二个方向的知识,而如果需要设计专用的接口电路则需要用到第一个方向的知识。就目前SOPC方向发展其实远不如第一和第二个方向,其主要原因是因为SOPC以FPGA为主,或者是在FPGA内部的资源实现一个“软”的处理器,或者是在FPGA内部嵌入一个处理器核。但大多数的嵌入式设计却是以软件为核心,以现有的硬件发展情况来看,多数情况下的接口都已经标准化,并不需要那么大的FPGA逻辑资源去设计太过复杂的接口。而且就目前看来SOPC相关的开发工具还非常的不完善,以ARM为代表的各类嵌入式处理器开发工具却早已深入人心,大多数以ARM为核心的SOC芯片提供了大多数标准的接口,大量成系列的单片机/嵌入式处理器提供了相关行业所需要的硬件加速电路,需要专门定制硬件场合确实很少。通常是在一些特种行业才会在这方面有非常迫切的需求。即使目前Xilinx将ARM的硬核加入到FPGA里面,相信目前的情况不会有太大改观,不要忘了很多老掉牙的8位单片机还在嵌入式领域混呢,嵌入式主要不是靠硬件的差异而更多的是靠软件的差异来体现价值的。我曾经看好的是cypress的Psoc这一想法。和SOPC系列不同,Psoc的思想史载SOC芯片里面去嵌入那么一小块FPGA,那这样其实可以满足嵌入式的那些微小的硬件接口差异,比如某个运用需要4个USB,而通常的处理器不会提供那么多,就可以用这么一块FPGA来提供多的USB接口。而另一种运用需要6个UART,也可以用同样的方法完成。对于嵌入式设计公司来说他们只需要备货一种芯片,就可以满足这些设计中各种微小的差异变化。其主要的差异化仍然是通过软件来完成。但目前cypress过于封闭,如果其采用ARM作为处理器内核,借助其完整的工具链。同时开放IP合作,让大量的第三方为它提供IP设计,其实是很有希望的。但目前cypress的日子怕不太好过,Psoc的思想也不知道何时能够发光。

4、数字逻辑知识是根本。

无论是FPGA的哪个方向,都离不开数字逻辑知识的支撑。FPGA说白了是一种实现数字逻辑的方式而已。如果连最基本的数字逻辑的知识都有问题,学习FPGA的愿望只是空中楼阁而已。而这,恰恰是很多菜鸟最不愿意去面对的问题。数字逻辑是任何电子电气类专业的专业基础知识,也是必须要学好的一门课。很

多人无非是学习了,考个试,完了。如果不能将数字逻辑知识烂熟于心,养成良好的设计习惯,学FPGA到最后仍然是雾里看花水中望月,始终是一场空的。

以上四条只是我目前总结菜鸟们在学习FPGA时所最容易跑偏的地方,FPGA的学习其实就像学习围棋一样,学会如何在棋盘上落子很容易,成为一位高手却是难上加难。要真成为李昌镐那样的神一般的选手,除了靠刻苦专研,恐怕还确实得要一点天赋。

第四篇:FPGA教学大纲

四 川 大 学 锦 城 学 院

本科课程《现场可编程逻辑门阵列FPGA》

电子类专业教学大纲

一、课程基本信息

课程名称(中、英文):《现场可编程逻辑门阵列FPGA》, Field Programmable Gate Array 课程类别:必修专业课

学时:

32

学分:2

二、预前知识

数字电子技术基础

三、课程目的及要求

1.了解FPGA的应用场合、发展历程、主要厂家。 2.熟悉典型FPGA的基本结构。

3.掌握FPGA设计流程及其中涉及到的重要概念与工具软件。 4.熟练掌握可综合的Verilog语法语句。

5.掌握常用的基本电路结构,并能用Verilog语言进行描述。

四、教学内容及进度

第1章 课程简介 (本章2课时)

掌握FPGA的概念、用途及生产厂家,掌握FPGA的学习方法。 重点:FPGA的学习方法 难点:芯片可编程的理解 1. 什么是FPGA 2. FPGA有何用途 3. 为什么要学习FPGA 4. 如何学习FPGA

1 第二章 可编程逻辑器件基础 (本章2课时)

掌握与或阵列及查找表用于实现逻辑函数的方法,了解基于与或阵列结构可编程逻辑器件的发展历程,掌握典型FPGA的基本结构及使用上的特点,了解FPGA的发展趋势。

重点:与或阵列及查找表用于实现逻辑函数 难点:典型FPGA的基本结构 1. 知识准备:与或阵列、查找表 2. 可编程逻辑器件结构发展历程 3. FPGA结构介绍

第3章 硬件描述语言基础 (本章2课时)

掌握硬件描述的思想,了解常用的硬件描述语言及Verilog的历史,掌握可综合的概念及对应的设计思路,掌握数字芯片系统结构及自顶向下分层设计的方法,掌握Verilog描述程序的基本结构及Verilog基本语法。

重点:数字芯片系统结构及Verilog基本语法 难点:可综合的理解

1. 硬件描述语言及Verilog简介 2. 可综合简介

3. 自顶向下分层设计的思路 4. Verilog基本语法

第4章 Verilog基本描述语句 (本章4课时)

掌握常用的可综合Verilog描述语句:always过程语句;串行块begin-end;连续赋值 assign;过程赋值 =、<=;条件语句if-else、case;循环语句for 重点:常用的可综合Verilog描述语句

难点:阻塞赋值与非阻塞赋值的区别,顺序执行与并发执行的区分

2 1. always过程语句 2. 串行块begin-end 3. 连续赋值 assign 4. 过程赋值 =、<= 5. 条件语句if-else、case 6. 循环语句for 第5章 运算符及表达式 (本章4课时)

掌握Verilog中注释的格式;掌握Verilog中整数常量的表示法;掌握Verilog中常用的可综合运算符的使用:逻辑运算符、关系运算符、相等与全等运算符、位运算符、归约(缩减)运算符、移位运算符、条件运算符、连接(位拼接)运算符、算术运算符 重点:常用的可综合运算符的使用

难点:各运算符的优先级别及对有符号数和无符号数处理的差别 1. 注释的格式 2. 整数常量表示法 3. 逻辑运算符 4. 关系运算符 5. 相等与全等运算符 6. 位运算符

7. 归约(缩减)运算符 8. 移位运算符 9. 条件运算符

10.连接(位拼接)运算符 11.算术运算符

第6章 基本电路设计 (本章2课时)

掌握电路描述方法,掌握按端口位置对应及按端口名称对应的模块例

3 化方式,掌握常用基本电路的结构及描述方法 重点:常用基本电路的结构及描述方法

难点:按端口位置对应及按端口名称对应的模块例化方式

1. 电路描述方法,按端口位置对应及按端口名称对应的模块例化方式 2. 2选1多路选择器 3. 优先编码器 4. 多路选择器 5. 译码器 6. D触发器 7. 移位寄存器 8. 上升沿检测 9. 下降沿检测 10.计数器

11.两级单时钟使能计数器结构

第7章 有限状态机设计 (本章2课时)

掌握状态机设计及描述方法,掌握起始状态、剩余状态的处理,掌握各状态编码方式之间的差别及各自的优缺点。 重点:状态机设计及描述方法

难点:格雷码及“one-hot”状态值编码 1. 有限状态机介绍及其优点 2. 状态机设计思路 3. 起始状态处理 4. 状态编码方式 5. 剩余状态与容错技术

第8章 双向端口及存储器 (本章2课时)

掌握双向端口的定义及使用,掌握使用D触发器组及调用库单元实现

4 存储器的描述方式。 重点:存储器的描述 难点:双向端口的使用 1. 双向端口的定义及使用 2. 存储器描述方式

第9章 设计方法与技巧 (本章4课时)

掌握可编程逻辑设计的基本原则,掌握可编程逻辑设计常用设计思想和技巧,掌握良好的编码风格。 重点:时钟的最小周期定义 难点:流水线、寄存器配平 1. 可编程逻辑设计的基本原则 2. 可编程逻辑设计常用设计思想和技巧 3. Altera公司推荐的编码风格 4. 面积优化 5. 速度优化

五、教材

《CPLD/FPGA与ASIC设计实践教程》 主编:陈 赜

编著:朱如琪、罗 杰、王建明、鲁 放 出版社:科学出版社 出版日期:2005-8 书号:ISBN-978-7-03-016036-2/TP.332.1

六、主要参考资料

[1] 陈云洽,CPLD应用技术与数字系统设计,北京:电子工业出版社,2003 [2] 黄智伟,FPGA系统设计与实践,北京:电子工业出版社,2005

5 [3] 李国丽,EDA与数字系统设计,北京:机械工业出版社,2004 [4] 王金明,数字系统设计与Verilog HDL,北京:电子工业出版社,2002

七、成绩评定

学科成绩总分100分:

期末闭卷考试,考查学生对基本理论、能力的掌握程度,100分,占70%

平时成绩100分(包括考勤,课堂提问,作业)占

30%

第五篇:几款功放芯片与效果器芯片简介

TDA1521/TDA1514A

TDA1521/TDA1514A是荷兰飞利浦公司专门为数字音响在播放时的低失真度及高稳度而设计推出的两款芯片。所以用来接驳CD机直接输出的音质特别好。 其中的参数为:TDA1521在电压为±16V、阻抗为8Ω时,输出功率为2×15W,此时的失真仅为0.5%。 TDA1514A的工作电压为±9V~±30V,在电压为±25V、RL=8Ω时,输出功率达到50 W,总谐波失真为0.08% 。输入阻抗20KΩ, 输入灵敏度600mV,信嘈比达到85dB。其电路设有等待、静嘈状态,具有过热保护,低失调电压高纹波抑制,而且热阻极低,具有极佳的高频解析力和低频力度。其音色通透纯正,低音力度丰满厚实,高音清亮明快,很有电子管的韵味。 以上两款功放的外围零件都比较少,是"傻瓜"型的功放芯片,非常适合初级发烧友组装,只要按照电路图,不需调试就可获得很好的效果。由于该芯片的输入电平比较低,我们在制作是不需前置放大器,只要直接接到我们的电脑声卡、光驱、随身听上即可。著名的电脑多媒体音箱漫步者也是采用这两种芯片。

LM3886

LM38863TF是美国NS公司(美国国家半导体公司)于90年代初推出的一款大功率音频功放芯片。 该芯片的主要参数:工作电压为±9V~±40V(推荐±25V~±35V )RL=8Ω时的连续输出功率达到68W(峰值135 W)。如果接成BLT时的输出功率可以达到100W,而它的失真小于0.03%,其内部设计有非常完善的过耗保护电路。 本人也在使用使芯片,它的音色非常甜美,音质醇厚,颇有电子管的韵味,适合播放比较柔和的音乐。 NS公司 还有LM187

5、LM187

6、LM4766等大家都熟悉的芯片,其中LM4766是最新的,为双声道设计,内含过压、欠压、过载、超温等保护电路。其输出功率不小于2×40W.低音深沉而有弹性,颇具胆机的风格。

TDA729

4TDA7294是欧洲著名的SGS-THOMSON意法微电子公司于90年代向中国大陆摧出的一款颇有新意的DMOS大功率的集成功放电路。它一扫以往线性集成功放和厚膜集成的生、冷、硬的音色,广泛应用于HI-FI领域:如家庭影院、有源音箱等。 该芯片的设计以音色为重点,兼有双极信号处理电路和功率MOS的优点。具有耐高压、低噪音、低失真度、重放音色极具亲和力等特色;短路电流及过热保护功能使其性能更完善。TDA7294的主要参数:Vs(电源电压)=±10~±40V;Io(输出电流峰值)为10安培;Po(RMS连续输出功率)在Vs=±35V、8Ω时为70W,Vs=±27V、4Ω时为70W;音乐功率(有效值)Vs=±38V、8Ω时为100W,Vs=±29V、4Ω时为100W。总谐波失真极低,仅为0.005%。 另外,SGS-THOMSON意法微电子公司还有几种代表作的功放芯片,如:TDA7295 TDA7296 TDA726

4、TDA2030A(我们常用的麦蓝低音炮就是采用此芯片)等。

LM4610N

LM4610是美国国家半导体公司的高品质直流控制音响电路。它是一块利用直流电压控制音调、音量和声道平衡的立体声集成电路,并且具有3D音场处理、等响度补偿功能。该电路控制平滑流畅,音质自然流畅,高频清晰、解析力佳,其产生的3D环绕声场具有

很强的三维空间感和包围感,主观感觉与SRS的效果类似。 LM4610N的主要电气参数如下:具有3 D声场处理功能和响度补偿功能。响度补偿是针对人耳在音量较小时对高低频信号的灵敏度下降,因而在不同音量时对高、低频端作适度的提升补偿,使人耳在任何响度下始终听到平坦、均衡的响应。它的电压范围是:9V~16V(典型为12伏,电流为35毫安);失真度仅0.03%;信嘈比高达80dB;频宽达250 kHz,音量调节为75dB;平衡调节为1~20dB;音调调节范围为±15dB;最大增益2dB;LM4610N具有输入阻抗高(30Ω),输出电阻低(20Ω)的优点。 用LM6410N音调控制电路对提高音质和加强低频力度及三维空间感作用突出。可以说LM4610N是组装功放系统或替换调音部分的精品。

BBE技术

BBE是一种声音增强和改善的专利技术。它的全称是Barcus-BerryElectronice,是美国BBE.sound公司于1985年开始就推出市场的新技术。一出现就得到广泛的应用,比如国外的松下、索尼,国内的TCL、创维、乐华等新一代彩电。在录音和唱片上也纷纷利用BBE技术,而一些广播电台如加拿大的广播公司、瑞士国际广播、韩国广播及日本的NHK政府开通的广播电视系统,都应用了这种技术。 高解析力BBE电路XR1075 XR1075是美国XEAR公司最新推出的高解析力 BBE芯片。是在XR1071的基础上,采用新的双极性技术,使其芯片的噪声系数更低、总谐波失真更小,而芯片的体积更小,外围元件进一步简化,高低频延伸、高频解析力增强调节范围和低频补偿范围均比XR1071更宽。高频调节范围-0.5~+13 db,低频补偿调节范围-0.5~+13db.数码超重低音处理器M51134P M51134P

是日本三菱公司专门为AV影音系统开发的专用超低音检测加强电路。其内部包括:频率检测、调整器、电平检测、低通滤波VCA压控放大等。原理是采用数码滤波方式检测输入信号中的低频 成分的电平的高低,加强相应低频成分并进行低频动态扩展(又压控放大器完成),其原理与一般的低通滤波器形式的重低音加强电路不同。M51134P提供的重低音效果有强烈的震撼感,特别是雷声、炮声、爆炸声等尤为突出。M51134P只是检测低于120Hz的信号,如果输入信号中没有低于120Hz的成分,则没有输出。

最新标准虚拟杜比环绕声芯片QS7779/QS7785

QS7779/QS7785是加拿大Qsound音频实验室推出的单片虚拟化环绕音效处理电路,是目前业界公认的处理效果最接近自然原声的虚拟杜比环绕芯片!QS7779为2入2出方式,QS7785为2入5出,两者内部都包括了杜比定向逻辑和DVD(AC-3)混合信号解码器,使用Qsound实验室的专利Qsurround虚拟环绕技术,并由Qsound实验室授权使用,该芯片的主要功能是:(1)如果输入的是普通的立体声信号,则进行立体声效果增强:(2)如果输入的是2声道的矩阵编码信号(杜比定向逻辑或混合AC-3信号)则先将其解码,再虚拟化合成2声道或5声道输出。 QS7779主要特点: 1.内带杜比定向逻辑和 DVD(AC-3)混合信号解码输器,使用2只扬声器实现虚拟化环绕声。 2.信噪比11db, 动态范围110db . QS7785主要特点: 1.内带杜比定向逻辑和 DVD(AC-3)混合信号解码输器,解出的环绕信号为2声道全频带,和AC-3环绕声相同,优于杜比定向逻辑系统。 2.前方采用3 D立体声增强技术,后方采用3D合成虚拟环绕技术,分两种增强方式(低增强和高增强),具有中置输出及低音增强功能。 3.使用5声道实现环绕声,也可用2声道输出方式。 4..

信噪比11db, 动态范围110db

运放(运算放大器) 我们常见或常用到有:4558(比较便宜一般用于一些随身听)。NE5532曾经被誉为运算放大器之皇。AD712K.AD827(非常不错的运放在市面上很难买到正货,听说定货也要等三个月。市面价大约100元每块).以上的都是双运放,还有四运放如:TL084.LT058 等等

本文来自 360文秘网(www.360wenmi.com),转载请保留网址和出处

【fpga芯片配置】相关文章:

国产fpga芯片现状09-20

fpga实验报告感想04-13

fpga调研报告06-21

芯片公司介绍04-29

芯片封装技术04-18

FPGA电路设计论文04-20

fpga的应用领域07-31

fpga技术调研报告09-20

芯片设计简历表格04-12

芯片供货合同格式04-12

上一篇:北京apec会议下一篇:ui设计职责描述