数字逻辑教学总结

2022-07-16

时间过得很快,四季轮回的过程中,一年忙碌的工作时间结束。在这一年的工作中,大家通过工作,可学到更多方面的工作知识,也留下了众多的学习回忆。为记录这一年的成长,可编写一份年终总结。以下是小编精心整理的《数字逻辑教学总结》,仅供参考,大家一起来看看吧。

第一篇:数字逻辑教学总结

数字逻辑与数字系统设计教学大纲

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

“数字逻辑与数字系统设计”教学大纲

课程编号:OE2121017 课程名称:数字逻辑与数字系统设计

英文名称: Digital Logic and Digital

System Design 学

时:60

分:4 课程类型:必修

课程性质:专业基础课 适用专业:电子信息与通信工程(大类)

开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院

一、课程的教学任务与目标

数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.

5、2.6、3.

6、4.1、4.2的能力要求。

要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA设计工具,培养学生设计较大规模的数字电路系统的能力。

本课程教学特点和主要目的:

(1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。

(2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。

(4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

过本课和实验教学, 使学生掌握新的数字系统设计技术. 虽然现代设计人员已经很少使用传统的设计技术,但传统的设计可以让学生直观地了解数字电路是如何工作的,并可以为EDA设计工具所进行的操作提供说明,让学生进一步了解自动化设计技术的优点。

成功的逻辑电路设计人员必须深入理解数字逻辑设计相关的基本概念,并熟练掌握EDA设计工具的使用。

二、本课程与其它课程的联系和分工

数字逻辑与数字系统设计主要讨论集成电路器件的外部特性,对门电路内部晶体管的工作原理及状态转换只作定性了解。

数字逻辑与数字系统设计在学科基础中的地位既要体现作为一门课程的完整性和电子线路体系结构的特点,也要体现为后续课程服务的目的。后续的专业课程如计算机组成原理,微机原理、接口技术等都是数字电路系统高度集成的体现。数字电路与系统设计为微处理器与系统设计、嵌入式系统、数字通信等后续课程进行了基础知识准备。

三、课程内容及基本要求

(一)数制与编码(建议3学时) 学习数制表示方法和常用编码 1.基本要求

(1)掌握常用数制(

2、

8、

10、16进制数)的表示方法与相互转换方法

(2)掌握常用编码(842BCD码、5421BCD码、余3码、格雷码等)的表示方法 2.重点、难点

重点:二进制,十六进制 难点:格雷码的掌握

3.说明:主要掌握常用编码的表示方法

(二)逻辑代数与逻辑函数化简(建议10学时)

学习逻辑代数的基本运算及函数表示方式,了解逻辑函数的化简方法;学习硬件描述语言(HDL)描述逻辑函数的基本结构,熟悉逻辑函数与HDL之间的对应关系。 1.基本要求

(1)熟练掌握基本逻辑运算与逻辑门

(2)了解逻辑代数的基本定理、法则和主要公式,了解逻辑函数代数化简法 (3)掌握逻辑函数的标准表达式和常用的五种表达式及相互转换方法 (4)能够用HDL描述真值表,熟悉逻辑函数的HDL表达方式 (5)熟悉逻辑函数的卡诺图化简法

(6)掌握包含无关项逻辑函数的表示方法及化简方法 2.重点、难点

重点:逻辑函数的两种标准表示形式以及HDL表达方式 难点:五种表达式之间的相互转化 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

3.说明:5个变量以上的逻辑函数化简不作要求

(三)组合逻辑电路(建议10学时)

学习组合逻辑电路的分析方法和设计方法,学习组合逻辑的HDL描述方式 1.基本要求

(1)掌握组合电路的分析方法和设计方法

(2)熟悉组合逻辑电路的HDL设计方法,掌握设计流程图的绘制方法

(3)熟悉常用MSI组合逻辑部件(变量译码器、数据选择器)的逻辑功能,扩展方法及应用

(4)掌握译码器、数据选择器的HDL描述方式

(5)掌握由MSI器件构成组合电路的设计方法和分析方法 (6)了解组合电路的竞争冒险现象及消除方法 2.重点、难点

重点:由门电路进行组合电路的设计 难点:中规模集成电路芯片应用

3. 说明: 安排组合逻辑研究实验

(四)触发器(建议6学时)

学习触发器的工作原理和功能描述方法,学习触发器的HDL描述方法 1.基本要求

(1)掌握基本RS触发器及常用沿触发的(D、T、JK)触发器的逻辑功能及其描述方法 (2)触发器的HDL描述方法(包括行为级描述和结构化描述) (3)熟悉常用集成触发器的逻辑符号及时序图的画法 (4)掌握触发器的HDL描述方法中的沿触发与电平触发 2.重点、难点

重点: 触发器的多种描述方法

难点:触发器电路的HDL描述及时序波形

3.说明:触发器部分要求记忆逻辑符号掌握逻辑功能,对触发器内部电路不做要求,安排集成触发器实验。

(五)时序逻辑电路(建议16学时)

学习同步时序电路的分析方法和典型同步时序电路的设计方法,时序电路的HDL描述。 1.基本要求

(1)掌握同步时序电路的分析方法,要求根据电路能正确列出状态表,画出状态及时序图并分析其功能

(2)了解同步时序电路的一般设计方法和步骤,掌握给定状态同步时序电路的设计方法

(3)掌握时序电路的HDL描述方法 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4)掌握典型MSI时序逻辑部件(74LS16

1、74LS194)的逻辑功能,扩展方法及应用 (5)学习状态机的HDL描述方法,并掌握复杂时序逻辑电路的HDL描述方法 (6)掌握以MSI为主的典型同步时序电路的分析方法与设计方法:

任意模值计数器;移位型计数器;序列码发生器 (7)掌握典型时序电路的HDL描述方法

(8)了解异步时序电路的主要特点

2.重点、难点

重点:电路自启动自校正的设计;MSI时序逻辑部件的逻辑功能及应用 难点:时序逻辑点状态机HDL描述

3.说明:学习这一章后,要求能看懂器件手册,安排计数器和移位寄存器应用实验。

(六)集成逻辑门(建议3学时) 1.基本要求

(1)了解典型TTL与非门的基本工作原理,掌握其主要外特性和参数 (2)掌握集电极开路门和三态门的主要特点

(3)掌握MOS逻辑门(以CMOS为主)的主要特点和使用方法 2.重点、难点

重点:TTL与非门的主要外特性和参数 难点:集电极开路门

(七)脉冲波形的产生与整形(建议3学时) 了解脉冲电路的分析方法 1.基本要求

(1)了解典型脉冲电路(单稳、多谐、施密特触发器)的基本特点及脉冲电路的分析方法

(2)掌握555定时器的基本工作原理及典型应用

(3)掌握晶体振荡器,施密特单稳集成电路的基本原理及使用方法。 2.重点、难点 重点: 555定时器

难点:振荡电路性能提高需要考虑的因素 3.说明:安排脉冲电路的产生和整形实验。

(八)存贮器及可编程器件(建议4学时) 1.基本要求

(1)掌握ROM的基本工作原理和几种不同的编程方法 (2)了解静态RAM和动态RAM的基本工作原理

(3)了解可编程器件的内部结构特点, 可用资源, 主要参数和选型依据 西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

(4) 结合实验, 逐步掌握FPGA的仿真与设计技术 2.重点、难点

重点:ROM的基本工作原理, FPGA的仿真与设计技术 难点:ROM实现组成逻辑函数, FPGA的仿真与设计技术

(九)D/A和A/D(建议1学时) 1.基本要求

(1)了解D/A和A/D转换器的基本原理和主要技术指标 (2)了解典型集成D/A和A/D芯片的特点 2.重点、难点

重点:D/A和A/D转换器的主要技术指标 难点:D/A和A/D转换器的基本原理

四、布置大作业

综合设计(1)----- 用VHDL设计一数字频率计(结合实验在FPGA上实现) 综合设计(2)----- 用VHDL设计一DDS信号发生器(结合实验在FPGA上实现) 系统设计完成通过EDA软件仿真后,在FPGA系统上实现验证,期间安排两次讨论。第一次是设计方案评审和讲评,第二次的实现结果报告和讲评。

五、教学安排及方式

总学时 60 学时,讲课 56 学时。讨论4学时。实验单独开课,大作业采用开放式实验方式利用课外时间进行。

六、考核方式

1. 期末笔试(以闭卷考试为主,也可开卷考试或半开卷半闭卷考试) 占60% , 2. 大作业----综合设计占30%, 3. 平时成绩占10% .

七、推荐教材与参考资料

教材:

(1) 新编: 任爱锋, 孙万蓉, 周端等

(2) 杨颂华等 数字电子技术基础 西安 西安电子科技大学出版社 2009 参考书:

(1) 夏宇闻等译 数字逻辑基础与Verilog设计 机械工业出版社

(2) John F. Wakerly 数字设计——原理与实践(第三版 影印版) 高等教育出版社

西安电子科技大学

“卓越工程师教育培养计划”试点课程教学大纲

第二篇:《数字逻辑电路》课程教学大纲

第一章 数制与编码

在数字电路和计算机中,只用0和1两种符号来表示欣喜,参与运算的数也是由0和1构成的,即二进制数。考虑到人类计数习惯,在计算机操作时,一般都要把输入的十进制数转换为二进制数后再由计算机处理;而计算机处理的二进制结构也需要转换为便于人类识别的十进制数然后显示出来,因此,需要学习不同的数值及转换方法。

通过这一章的学习,学习者要理解数字电路的特点以及几种数制之间的转换方法 进一步学习后续内容打好基础;

本章的主要教学内容(教学时数安排:8学时): §1.1 概述

§1.2 数制与编码 §1.3 编码

第二章 逻辑代数

本章主要介绍逻辑代数的基本定理和定律,常用公式及三大规则(代入、反演、对偶)。

通过本章的学习熟悉逻辑代数的各种表示方法(真值表、表达式及逻辑图等),理解各种逻辑门的图形符号,理解最小项的基本概念及标准与或式的表示方法。掌握逻辑代数变换技巧及逻辑代数化简方法。

本章的主要教学内容(教学时数安排:8学时): §2.1 逻辑代数的基本概念 §2.2 逻辑代数的运算法则 §2.3 逻辑代数的表达式 §2.4 逻辑代数的公式简化法

第三章 门电路

本章介绍典型TTL集成电路的基本工作原理,典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),OC门和TS门的图形符号及逻辑功能,及其正确应用的注意事项。

要了解典型TTL集成电路的基本工作原理,要求掌握典型TTL与非门主要外部特性(电压传输特性、输入特性、输出特性),熟悉一些主要参数,理解OC门和TS门的图形符号及逻辑功能,了解其正确应用及注意事项。了解MOS门电路(特别是CMOS门电路)的构成,熟悉逻辑特性。

本章的主要教学内容(教学时数安排:8学时): §3.1 概述

§3.2 体二极管和三极管的开关特性 §3.3 分立元件门 §3.4 TTL集成门

§3.5 其他类型的双极型集成电路 §3.6 MOS集成们

1

第四章 组合逻辑电路

本章主要介绍了掌握组合逻辑电路的分析方法,一些常用的组合逻辑电路,如加法器、数据选择器、数据分配器等,以及半导体数码管的基本结构和引脚符号的含义,组合逻辑电路的竞争冒险现象。

通过本章的学习,要掌握组合逻辑电路的分析方法,以识别给定电路的逻辑功能,能设计一些简单的,常用的组合逻辑电路,掌握编码器、译码器的基本概念及应用方法,了解半导体数码管的基本结构和引脚符号的含义,了解加法器、数据选择器、数据分配器的基本原理和应用,了解组合逻辑电路的竞争冒险现象。

本章的主要教学内容(教学时数安排:8学时): §4.1 概述

§4.2 若干常用的组合逻辑电路

§4.3 基于Verilog HDL的组合逻辑电路设计 §4.4 组合逻辑电路的竞争——冒险现象

第五章 触发器

本章主要介绍了基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,还有同步触发器的电路结构,逻辑功能,主要介绍了边沿JK触发器、T触发器、维持阻塞D触发器集成JK、D触发器。

通过本章的学习,要理解掌握基本RS触发器的组成、工作原理、逻辑功能及逻辑功能的描述方法,了解同步触发器的电路结构,熟记其逻辑符号、逻辑功能,并会熟练运用,掌握主从JK触发器、T触发器、维持阻塞D触发器的逻辑符号,逻辑功能;掌握集成JK、D触发器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §5.1概述

§5.2 基本RS触发器 §5.3 钟控触发器 §5.4 集成触发器

§5.6 触发器之间的转换

§5.7 基于Verilog HDL的触发器设计

第六章 时序逻辑电路

本章主要介绍了时序逻辑电路的概念及与组合逻辑电路的区别,寄存器的电路组成、常见类型及逻辑功能,以及时序逻辑电路的分析方法和设计方法,重点介绍了常见的二进制、十进制计数器工作原理及功能,集成寄存器、计数器的工作原理与设计方法。 本章是本课程的重要部分。

通过本章的学习,掌握时序逻辑电路的概念及与组合逻辑电路的区别,掌握寄存器的电路组成、常见类型及逻辑功能,熟练掌握时序逻辑电路的分析方法和设计方法,掌握常见的二进制、十进制计数器工作原理及功能,了解集成寄存器、计数器的使用常识。

本章的主要教学内容(教学时数安排:8学时): §6.1 概述

§6.2 数码寄存器和移位寄存器 §6.3 计数器

2 §6.4 基于Verilog HDL的时序逻辑电路的设计

第七章 脉冲单元电路

本章主要介绍脉冲波形的主要参数,555定时器、单稳态触发器、施密特触发器、多谐振荡器的电路组成、工作原理以及各种触发器的应用。

通过本章的学习后,要掌握脉冲产生和变换电路的调试方法熟悉脉冲波形的主要参数,掌握单稳态触发器、施密特触发器、多谐振荡器的电路组成和工作特点,掌握555定时器的功能。

本章的主要教学内容(教学时数安排:6学时): §7.1 概述

§7.2 施密特触发器 §7.3 单稳态触发器 §7.4 多谐振荡器

第八章 数模和模数转换

本章主要介绍了 A/D与D/A转换电路的概念及A/D与D/A转换的区别, A/D与D/A转换电路组成、常用参数、分辨率和误差。

通过本章的学习后,要掌握A/D与D/A转换电路的概念及A/D与D/A转换的区别,掌握A/D与D/A转换电路组成、常用参数、分辨率和误差,熟练掌握转换的使用环境和特定型号。

本章的主要教学内容(教学时数安排:6学时): §8.1 概述 §8.2 数模转换 §8.3 模数转换

第九章 程序逻辑电路

半导体存储器是程序逻辑电路中的主要组成部分。本章主要介绍了程序逻辑电路的结构和特点,然后系统的介绍了半导体存储器的工作原理和使用方法。

通过本章的学习后,要了解程序逻辑电路的结构和特点,并掌握半导体存储器的工作原理和使用方法

本章的主要教学内容(教学时数安排:4学时): §9.1 概述

§9.2 随机存储器 §9.3 只读存储器

§9.4 程序逻辑电路的应用

制定者:

执笔 校对者: 审定者:

批准者:

3

第三篇:教学模式的数字逻辑课程教学研究论文

论文摘要:针对目前“数字逻辑”课程教学中存在的问题,在分析“数字逻辑”课程的特点、教学现状和PBL教学模式内涵的基础上,文章提出将PBL教学方法应用于“数字逻辑”教学过程中的观点,并提出“2+2”教学方案。教学实践表明,将PBL教学模式应用于数字逻辑课程中,提高了学生学习的积极性和主动性,使他们进一步加深了对数字逻辑的原理、知识、概念的理解,为后续课程的学习奠定了坚实的基础。论文关键词:数字逻辑 PBL教学 教学研究

“数字逻辑”课程是理工类专业的技术基础课,从计算机的层次结构上讲,“数字逻辑”是深入了解计算机“内核”的一门最关键的基础课程,同时也是一门实践性很强的课程[1]。其任务是使学生掌握数字逻辑与系统的工作原理和分析方法,能对主要的逻辑部件进行分析和设计,学会使用标准的集成电路和高密度可编程逻辑器件,掌握数字系统的基本设计方法,为进一步学习各种超大规模数字集成电路的系统设计打下基础。

PBL全称为Problem—BasedLearning,被翻译成“基于问题学习”或“问题式学习”。其基本思路是以问题为基础来展开学习和教学过程[2]。PBL教学法是以问题为基础,以学生为主体,以小组讨论形式,在老师的参与和指导下,围绕某一具体问题开展研究和学习的过程,培养学生独立思考能力[3]。如今PBL教学已经成为美国教育中最重要和最有影响力的教学方法。

1研究背景

1.1数字逻辑课程的内容及其教学中存在的问题

数字逻辑课程的主要内容包括数字逻辑基础和数字电路两个部分,在学习过程中学生应把握好这两条贯穿整个课程的主线。数字逻辑基础是研究数字电路的数学基础,教师在教学中应使学生明确数字电路中逻辑变量的概念,掌握逻辑代数(布尔代数)的基本运算公式、定理,能够熟练对逻辑函数进行化简。数字电路是解决逻辑问题的硬件电路,包括组合逻辑电路和时序逻辑电路两种基本形式。对于每一种电路形式,教师应指导学生从基本单元电路入手,熟悉其常用中规模集成电路的原理及使用方法,掌握数字电路(组合和时序电路)的分析和设计方法,并了解数字系统的现代设计方法。

我们根据教学内容,总结数字逻辑课程具有以下几个特点:

1)数字逻辑课程是一门既抽象又具体的课程。在逻辑问题的提取和描述方面是抽象的,而在逻辑问题的实现上是具体的。因此,学习中既要务虚,又要务实。

2)理论知识与实际应用紧密结合。该课程各部分知识与实际应用直接相关,学习中必须将理论知识与实际问题联系起来,真正培养解决实际问题的能力。

3)逻辑设计方法灵活。许多问题的处理没有固定的方法和步骤,很大程度上取决于操作者的逻辑思维推理能力、知识广度和深度、以及解决实际问题的能力。换而言之,逻辑电路的分析与设计具有较大的弹性和可塑性。

基金项目:黑龙江省智能教育与信息工程重点实验室项目;黑龙江省计算机应用技术重点学科(081203);黑龙江省教育厅科学研究项目(11551125)。

笔者发现在实际教学过程中存在以下一些问题。

1)在教学方式上,很多教师仍然在以“满堂灌”的教学方式为主,整堂课以教师为中心,教师将书本上现成的内容、公式、定理、结论讲授给学生,这使学生不能主动地去思考和探索,只能机械地记忆若干公式定理结论,长期下去会使学生失去学习兴趣。

2)在实验实践环节上,一些教师侧重理论知识的讲授,忽视实验实践环节,致使学生在面对具体应用问题时手忙脚乱,不知道如何运用所学的知识去解决问题。在实验方案的选择上,一些教师以传统实验为主,扩展性不足,使学生无法与实际工程项目接轨,不能很好地解决实际问题。

1.2PBL教学的内涵

在传统教学中,我们习惯于把知识的获得和应用看成是教学中两个独立的阶段。实际上,知识的应用并不是知识的套用,在应用知识解决有关问题的过程中,学习者常常需要针对当前的具体问题进行具体分析,在原有知识的基础上建构出解决当前问题的方案。因此,应用知识解决问题的过程同样是一个建构过程,在解决问题的过程中,学习者需要对问题背后所隐含的基本关系、基本规律做思考、分析、考察,从而建构起相应的知识。

以问题为导向的教学方法(PBL)是基于现实世界的以学生为中心的教育方式,与传统的以学科为基础的教学法有很大不同,PBL强调以学生的主动学习为主,而不是传统教学中的以教师讲授为主;PBL将学习与更大的任务或问题挂钩,使学习者投入于问题中;它设计真实性任务,强调把学习设置到复杂的、有意义的问题情景中,通过学习者的自主探究和合作来解决问题,从而学习隐含在问题背后的科学知识,形成解决问题的技能和自主学习的能力,真正提高学习者分析问题、解决问题的能力。

当今的建构主义者越来越重视问题在学习中的作用,以问题为中心,以问题为基础,让学生通过解决问题来学习,通过高水平的思维来学习,这是当今教学改革的重要思路。

2PBL教学模式在数字逻辑课程中的应用

2.1教材选择

针对PBL教学法,根据计算机工程专业的特点,笔者选择由欧阳星明主编、华中科技大学出版社出版的《数字逻辑》(第四版)作为基础教材,由欧阳星明主编、人民邮电出版社出版的《数字电路逻辑设计》作为参考教材。选择教材的目的是理论和实践相结合,每本教材各有其侧重点。

2.2PBL教学法的教学设计

在“基于问题学习”模式的课堂中,教师是指导者,学生是活动的主体,它要求学生要会主动地去寻找学习中的问题,然后带着问题,在自己能力所及的范围内概括和应用知识,运用各种已有的知识和科学的方法去分析问题和解决问题。其教学目标立足于培养学生灵活的知识基础,发展高层次思维能力、自主学习能力以及合作学习能力。基于问题学习体现在课堂上,最突出的特点就是促使学生积极参与到学习中去,成为积极主动的学习者,从而去努力学习新的知识和技能,并能逐渐把所学知识整合,最终达到用知识来解决问题的目的。

作者在多年教学经验基础上,针对PBL教学模式,提出“2+2”教学方案,包括4个教学环节:提出问题→解决问题→方案讨论→总结评价。

在上述4个环节中,教师主要参与提出问题环节和总结评价环节,学生主要参与解决问题环节和方案讨论环节。下面具体说明各个环节的设置。 1)提出问题。

提出问题环节是教学方案中的第一个环节,也是教师参与的第一个环节。在这个环节中教师应该根据所讲课程内容的不同设计出不同的问题,好的问题是整个学习过程中的关键。一个好的问题能够充分调动学生自主学习能力以及合作学习能力,使学生参与到学习过程中,调动学生学习热情。

笔者讲到组合逻辑电路设计时,提出的问题是设计一个全加器,用硬件描述语言VHDL进行描述并在试验箱上进行实现,同时还给出一个已经设计好的参考例程,共学生参考学习;在讲到时序逻辑电路设计时,提出的问题是设计一个汽车尾灯控制器,并对选用的逻辑门器件进行了要求。

这个环节的实施能够提高学生的学习积极性,使学生产生学习需求,培养了学生的问题意识。

2)解决问题。

解决问题环节是以学生为主体的环节,是学生对老师提出的问题进行解决。在这个环节中,老师首先对学生进行分组,根据学生学习情况,以5~7个人为一组。学生接受任务后学习兴趣提高,小组成员进行分工,采取各种方法来完成任务。每个小组共同学习,学习好的同学带动大家一起学习,互相帮助,学生变被动为主动,主动地思考和探索老师所提出的问题,在解决问题的过程中进行学习。在实际解决问题过程中,学生将面临一些困难,如逻辑器件的选择上、语言的描述上、具体问题的实现上,等等。

通过这一环节,教师也感受到同学们的想象力、创造力和动手能力等都是非常强的。

3)方案讨论。

在方案讨论这个环节中,学生根据学习到的知识对自己所设计的方案进行讨论,积极发言,提出自己的见解,说明自己的理由。教师根据学生们的发言,指出其合理的地方,对其不足的地方进行指正,引导学生解决问题。如在全加器的设计问题中,有的小组采用的是多种逻辑门电路进行设计,有的小组基于经济问题考虑,只采用与非门电路来进行设计,每个小组都详细阐明自己的观点,对自己的设计方案进行论证。

在这个环节,老师应强调放开思路,开拓创新,

鼓励学生进行多途径思考,全方位构思。这样既加强了学生们学习自觉性、开创性,又培养学生更多地进行综合思考,得到更多的锻炼,提高分析和解决复杂问题的能力。

4)总结评价。

小组必须在规定时间内完成设计开发任务。各个小组分别展示各自成果,其他小组学生提出问题进行互动并相互评价,老师给出点评并比较各自设计的优缺点,最后老师进行总结评价。这个环节中,教师作为主要参与者,一方面要对知识进行系统性的总结归纳,使学生对知识的掌握具有条理性,另一方面还要对学生进行启发式扩展,使学生的知识面更广,同时对一些难点重点再次进行强调,增加学生对知识的理解。

3结语

数字逻辑是一门理论联系实践比较强的课程,在教学中采用PBL教学模式,不仅可以提高学生掌握知识的能力和培养学生的创造性思维能力,还能提高学生的交流和合作能力。PBL教学可以使得数字逻辑课程目标更好的实现,能够引导学生自主学习,在实际的教学中,取得了良好的教学效果。

参考文献:

[1]季伟东,张军.数字逻辑课程的探究性教学研究与实践[J].计算机教育,2010(10):76-78.

[2]付森.PBL教学法在数据库原理教学中的应用[J].计算机教育,2010(10):91-93.

[3]赖维玮.网络环境下PBL教学模式研究与实践[J].中国教育信息化,2009(8):72-74.

第四篇:计算机教学研究论文:数字逻辑课程的探究性教学研究与实践

计算机教学研究论文:

数字逻辑课程的探究性教学研究与实践

摘要:针对目前数字逻辑课程教学中存在的问题,在分析数字逻辑课程的特点、教学现状和探究性教学方法的内涵的基础上,本文提出将探究性教学方法应用于“数字逻辑”教学过程中的观点。教学实践表明,探究性教学方法提高了学生学习的积极性和主动性,培养了学生的思维能力和创新能力,进一步加深了对数字逻辑的原理、知识、概念的理解,为后续课程的学习奠定了坚实的基础。

关键词:数字逻辑;探究教学;探究学习;

数字逻辑课程是计算机专业学生必修的基础课之一,本课程的教学目的是使学生获得数字电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,是计算机专业本科生后续课程和研究生课程的基础,在专业课程体系中占有重要地位。

探究性教学是著名教育家施瓦布于20世纪中叶提出的一种全新的教学方法[1]。这种方法要求是在教师精心设计指导下,通过预先的设计和组织,引导启发学生在学习中发现问题,进行探讨和研究,寻求出解决方法。把课堂授课从教师展现知识的过程转变为学生探究知识的过程。以便充分发挥学生学习的主动性和积极性,培养学生独立思考能力[2]。如今探究性教学已成为美国科学教育中最重要和最有影响的教学方法。新形势下如何深入理解探究性教学方法的内涵和特点,切实将其应用于“数字逻辑”的教学活动中,是一个非常有价值的研究课题。

1研究背景

1.1数字逻辑课程的特点

数字逻辑课程的主要任务是使学生掌握数字电子技术的基本原理,熟悉基础知识和基本技能;熟悉数字集成电路的工作原理、特性和功能;掌握逻辑电路的分析及设计方法;具备正确运用中小规模数字集成电路的能力,培养学生对数字系统的分析设计能力、工程实践能力和创新能力;为后续如计算机组成原理、微机原理与应用、计算机接口技术和单片机原理与应用等课程打下牢固的硬件基础。

数字逻辑课程的特点是理论和实践紧密结合。理论性比较强,涉及多方面的数理知识,而且每一个知识点都比较抽象,并且要求学生能够运用所学的知识对具体的电路进行分析。实践过程中,要求学生对学过的知识点进行综合运用,能够学会设计一些典型的数字电路。但是目前教学中存在不少问题,在教学方式上,很多教师以讲授的教学方式为主,将书本上现成的结论、公式和定理告诉学生,使学生不能主动地思考和探索;在教学效果上,学生也只是机械地记住了若干公式定理,在面对具体问题的时候,不知如何运用相应的知识去解决实际的问题。

1.2探究性教学的内涵

探究泛指一切努力解决问题,寻求答案的过程。它往往是一种有目的、有计划、有组织的活动。教学中的探究是指学生在教师的指导下,为获得科学素养,以类似科学探究的方式所展开的学习活动,是教师在现实材料的基础上,创设一种类似于学术研究的情境,构建一个开放性的活动课程。通过学生自主、独立地发现问题、实验、调查、信息搜集与处理、表达与交流等探索活动,获得知识与技能,体验发现与创造,发展情感与态度的教学方式和教学过程[3]。

探究性教学的目的在于启发学生探究的动机,鼓励学生在探究过程中的表现,以增进学生科学探究能力的发展。从本质上看,它是教师通过课程内容及教学活动,灵活创设情境,利用设疑抛砖引玉,充分调动学生的积极性,激发和助长学生探究行为的一种教学形式。即是利用探究思考使学生主动解疑的策略,并配合课程,让学生有应用想象力的机会,培养学生独创和精

密的思考探究能力,形成探索未知世界的科学精神和科学态度。

2数字逻辑课程的探究性教学

探究性教学能否在数字逻辑课堂中进行?这是实施探究教学所不能回避的问题。建构主义认识论认为,学生的认识是一种能动的建构过程,而不是被动的接受过程。问题解决是开展建构性认识或学习的有效过程,而科学探究正是解决问题的一种方式。因此探究性教学引入数字逻辑课程教学有坚实的理论基础。下面从三方面来说明数字逻辑课程的探究性教学。

2.1课堂教学中的探究

在探究型课堂中,应通过教学中问题展示的外因,充分调动学生主动、积极、自觉思维的内因,恰当调节教学节奏,活跃教学气氛,生动教学手段,提高教学效果。善教者必善问,怎样设疑,于何处设疑,往往会影响学生思维的品质[2]。

在数字逻辑课程中,一个好的问题既要与数字逻辑的概念紧密相连,又要能引发学生的研究兴趣。在实际教学中,笔者围绕计算机的工作原理及实际系统工程设计将数字逻辑的内容有层次有重点地进行讲述,尽量采用与计算机有关的通俗例子。例如在第一章讲解数制及常用的编码的时候,我给学生提出的问题是我们输入到计算机中的内容在计算机中是以什么方式存储的?计算机是如何进行加减运算的?这些与计算机的工作原理密切相关的问题引起了学生极大的兴趣。在讨论的过程中加深了学生的学习兴趣,同时把这一章的重点二进制及补码运算在讨论的过程中给学生进行了讲解,使学生对重点内容加深了理解。

在讲解时序逻辑电路时,笔者给学生提出的问题是如何进行一个“数字钟的设计”。要求数字钟具有“时”、“分”、“秒”的十进制数字显示;小时计时以一昼夜为一个周期(即24进制),分和秒计时为60进制;具有校时功能,可在任何时刻将其调至标准时间或者指定时间。针对这个问题,学生以小组形式在课余时间进行了设计,提出各种设计方案,这样既加深了学生的学习热情,同时培养了学生的创新性思维。在课堂实际教学中,根据学生提出的具有典型性的设计方案对时序逻辑电路进行讲解,指出学生在设计中存在的不合理的地方,同时着重介绍时序电路的分析与设计方法,不偏重于讲解电路设计技巧,多讲计算机最基本的器件,如寄存器、计数器、序列检测器等,重点放在同步时序逻辑电路的讲解上,对异步时序逻辑电路仅作一般性的介绍。这样既突出了重点,又利用探究思考使学生能够主动解疑,并配合课程,让学生有应用想象力的机会,培养学生独创和精密的思考探究能力。实践证明,探究式的课堂教学方式提高了学生的学习积极性、主动性,使学生产生学习需要,培养了学生的问题意识和创新精神。

2.2实验教学中探究

在传统的课程教学中,教师往往只是注重纯理论知识的传授,如集成门电路与触发器、组合及时序逻辑电路等。面对抽象的没有任何物理含义的纯理论知识,学生不能在头脑中产生具体概念。因而面对实际任务,就不知该如何着手应用所学知识去解决实际问题。针对这一问题,笔者在教学过程中大大加强了实践环节。

实验首先应立足于基本内容,选题、范围、难易程度应与课堂内容保持一致。这对于学生加深理解基本理论及后面的可编程器件有所帮助。在保证“基本”的前提下,实验教学必须以能力培养为主线来组织实施。实验课题在选材上要突出应用性、体现趣味性和对各种知识的综合性。在安排上,将较大的设计任务,如“交通灯控制器”、“火灾报警系统”等实验只下达给学生具体技术要求,让学生在开放式实验室中自行设计、开发较复杂的逻辑电路,借助于开放式实验课培养学生独立思考,自己动手解决问题及创新的能力。

在实验环节中,我们教师会对相应的知识点设计一些动手题目,增加学生的动手能力以及对知识的应用。这些题目有的是针对一个知识点的专项题目,有的是针对一些知识点的综合运用,通过对这些动手题目的练习,使学生在“做中学”,增加了学生学习的兴趣[3-4]。例如设计一个“数字抢答器”,具体做法是先给出实际问题、要求选用实验室现有的集成块,学生根

据所学组合逻辑电路的设计步骤,先根据实际问题要求列出真值表,然后用卡诺图化简,写出最简表达式,画出逻辑电路图,通过选件、连接电路调试完成设计任务,写出完整的实验报告。这样的实验训练了学生用所学数字电路知识解决实际问题的能力,为学生以后设计电路打下良好的基础。

在实验安排上,我们教师逐步从验证性实验转变为分析设计性实验, 改变了过去那种按照书本或说明书作简单观察的作法,取而代之的是引导学生注意发现实验当中常见的问题并能解决这些问题。

在上述探究性实验课程中体现了从培养单纯知识型人才转变为培养创造型人才,贯彻了素质教育的精神,充分调动学生的积极性、主动性和激发学生的思维能力,加强了学生的自学能力和创新能力的培养。

2.3课程设计中探究

数字逻辑课程设计一般是计算机专业学生首次接触的课程设计,因此学生的热情比较高。在此情况下,教师所选课程设计题目既要全面利用所学知识,又要灵活、有层次。

在课程设计的实践教学中,可提倡放开型教学管理。在课程设计的选题上,更应强调放开思路、开拓创新,鼓励学生进行多途径思考,全方位构思,多选择设计方案。选题上,可用本课程知识进行设计,也可结合前修课程知识进行综合设计,如:对于某一课程设计的题目,可在几种逻辑芯片中进行选择,针对不同芯片采用不同的电路结构。这样,一则可促使部分学习自觉性强、有开创性的学生,在不同设计途径、不同处理方法的设计选择中,更多地碰到问题,更多地进行综合思考、分析,得到更多的锻炼,提高分析

和解决复杂问题的能力,并使其在经过不同处理方法比较分析后,对同一问题采用多种解决方法,激起学生开拓创新的欲望。二则可加深和拓宽课堂理论讲授的知识内容。这种情况下,题目的选择有两种可能性,一种是老师指定的题目,具有代表性;另一种是学生感兴趣的题目,在得到老师的认可后,可以作为课程设计的题目。

在课程设计具体实施过程中,针对一些比较复杂的设计,团队训练也是一个主要方案[5]。团队组成包括两个主要方面,一是实验指导教师,二是学生自由组合的团队。实验指导教师主要承担知识的辅助指导任务,学生团队一般由5人左右组成,并选择一位作为组长。在团队成员能力差别比较大的情况下,我们教师会将设计任务进行分解,每个任务由相应的同学负责;在团队成员能力基本比较均匀的情况下,由成员先讨论任务实现方案,然后各自进行实现,进而选择最优方案在集体实现。例如,在进行“汽车尾灯控制电路”这个设计题目中,我们将学生分成几个团队,基础差一些的团队由指定教师进行辅导,将题目进行分解,由学生各自完成分解任务;对基础好的学生,由成员讨论设计方案并进行论证,选择最优方案进行设计。最终每个团队不仅完成开发项目,并且给出了各具特色的作品。

在课程设计中,学生能够更好的将所学的知识应用到具体实践中,并且在实践开发过程中学到书本上没有的知识,同时拓宽了视野,为以后的学习奠定了扎实的基础。

3结语

数字逻辑是一门实践性较强的课程,逻辑电路的分析和设计需要学生有自己独特的思想。实践证明,数字逻辑课程中使用探究教学法,不仅可以提高学生掌握知识的能力、综合运用知识的能力、创造性思维能力,而且还能提高学生的交流和合作能力。探究教学可以使得数字逻辑课程目标更好地实现,能够引导学生自主学习,在探究过程中切实体现了教学互动的思想,取得了良好的教学效果。

参考文献:

[1] 韦斯特伯里. 科学•课程与通识教育-施瓦布选集[M]. 北京:中国轻工业出版

社,2008:6.

[2] 范培明. 如何在探究型课堂中运用设疑导学艺术[J]. 考试周刊,2007(10):69-71.

[3] 唐超颖,姜斌. “自动控制原理”课程的探究性教学实践[J]. 电气电子教学学报,2007 (6):91-93.

[4] 李爱娟. 基于三式递进的程序设计探究教学研究与实践[D]. 江苏:扬州大学计算机教育学院,2009:9.

[5] 季伟东,张珑,张军.“并行系统结构”的教学体系建设与实践[J]. 计算机教育,2010(8):112-114.

第五篇:数字逻辑 教案

第1章

数制与编码

(3学时)

目标:熟练掌握计算机中几个常用的数制(

十、

二、

八、十六进制)的特点、表示形式和相互转换的方法。熟练掌握3种机器数(原码、反码和补码)的表示形式、性质和相互转换的方法。熟练掌握数的定点、浮点表示方法。掌握十进制数字的常用编码(8421码、2421码、余3码)。掌握常用的可靠性编码(格雷码)的编码规则、特点。

主要内容:1.1 概述1.2 数制及其相互转换1.3 编码

重点:数制的表示方法及其转换方法;原码、反码和补码的表示形式和性质;数的定点、浮点表示方法;十进制数的二进制编码。 第2章

逻辑代数和硬件描述语言基础

(6学时)

目标:熟练掌握基本逻辑和复合逻辑的功能和符号表示;熟练掌握逻辑代数的基本概念、基本公式、定理和常用公式。掌握逻辑关系的描述方法(逻辑函数表达式、真值表、逻辑图)及其相互转换。熟练掌握逻辑函数的表示方法,最大项和最小项的表示方法。熟练掌握逻辑函数的公式化简法。了解Verilog HDL设计模块的基本结构,掌握Verilog HDL的词法和语句。

主要内容:2.1 逻辑代数的基本概念2.2 逻辑代数的运算法则2.3 逻辑函数的表达式2.4 逻辑函数的公式化简法2.5 Verilog HDL语言基础

重点:逻辑代数的基本公式、定理和常用公式,真值表,逻辑函数的表达式,逻辑函数的公式化简法,Verilog HDL的词法和常用语句。 第3章

门电路

(7学时)

目标:了解集成电路的分类及正负逻辑和混合逻辑的概念。了解半导体的特性和PN结的单向导电性;了解半导体二极管、三极管和MOS管的开关特性。了解分立元件门的工作原理和功能。了解TTL、CMOS门电路的组成和工作原理;掌握典型TTL、CMOS门电路的逻辑功能、外部特性和使用方法;掌握TTL与非门的主要参数。了解ECL等其它逻辑门电路的特点。掌握基于Verilog HDL设计门级电路的方法。

主要内容:3.1 概述3.2 晶体二极管和三极管的开关特性3.3 分立元件门3.4 TTL集成门3.5 其它类型的双极型集成电路3.6 MOS集成门3.7 基于Verilog HDL的门电路设计

重点:常用逻辑门电路的功能、门电路的主要外特性参数及其含义;基于Verilog HDL设计门级电路的方法。 第4章

EDA设计工具软件

(4学时)

目标:了解综合使用几种常用的EDA设计工具软件进行数字系统设计的流程。熟练掌握QuartusⅡ的特点和使用方法,包括设计输入方法、设计编译、模拟仿真、定时分析以及器件编程。掌握使用ModelSim进行功能仿真的方法。

主要内容:4.1 数字系统设计流程4.2 常用的PLD设计EDA工具软件4.3 QuartusⅡ的使用4.4 仿真工具ModelSim的使用 4.5 设计实例

重点:QuartusⅡ的设计输入方法、引脚锁定和仿真方法,嵌入式逻辑分析仪Signaltap的使用方法,TimeQuest时序分析方法;Verilog测试文件的编写和ModelSim的使用。 第5章

组合逻辑电路

(5学时)

目标:掌握组合逻辑电路的特点、分析方法和设计方法。掌握加法器、编码器、译码器、数据选择器、数值比较器和奇偶校验器等常用组合逻辑电路的电路结构、逻辑功能及使用方法。熟练掌握基于Verilog HDL的组合逻辑电路设计方法。了解组合逻辑电路的竞争冒险现象及其消除方法。

主要内容:5.1 概述5.2 常用组合逻辑电路5.3 基于Verilog HDL的组合逻辑电路设计5.4 组合逻辑电路的竞争与冒险

重点:组合逻辑电路的分析方法和设计方法;常用组合逻辑电路的电路结构和逻辑功能;基于Verilog HDL的组合逻辑电路设计方法。第6章

触发器

(6学时)

目标:了解基本RS触发器的电路结构、工作原理、功能及约束条件。熟练掌握钟控触发器(RS、D、JK、T、T’)的逻辑功能及描述方法(特性方程、特性表、状态图和时序图)。了解集成触发器的结构和功能。了解几种触发器的常见开关参数(数据传输延迟、数据建立时间和保持时间等)。了解触发器之间的转换方法。熟练掌握基于Verilog HDL设计触发器的方法。

主要内容:6.1 概述6.2 基本RS触发器6.3 钟控触发器6.4 集成触发器6.5 触发器之间的转换 6.6 基于Verilog HDL的触发器设计

重点:基本RS触发器、钟控触发器的逻辑功能及描述方法;基于Verilog HDL的触发器设计方法。 第7章

时序逻辑电路

(8学时)

目标:掌握时序逻辑电路的特点、描述方法和分析方法。掌握寄存器、移位寄存器、计数器等常用时序逻辑电路的工作原理、逻辑功能及使用方法。熟练掌握用Verilog HDL设计时序逻辑电路的方法。了解基于Verilog HDL设计数字系统的方法。

主要内容:7.1 概述7.2 数码寄存器和移位寄存器7.3 计数器7.4 基于Verilog HDL的时序逻辑电路设计 7.5 基于Verilog HDL的数字系统设计

重点:常用时序逻辑电路的工作原理、逻辑功能及使用方法;基于Verilog HDL的时序逻辑电路设计方法。 第8章

程序逻辑电路

(3学时) 目标:了解程序逻辑电路的结构及特点。了解半导体存储器(ROM和RAM)的电路结构和分类。掌握半导体存储器的工作原理和扩展存储容量的方法。了解用ROM实现组合逻辑函数的方法。掌握基于Verilog HDL的存储器设计方法。了解程序逻辑电路的应用。

主要内容:8.1 概述8.2 随机存储器8.3 只读存储器8.4 基于Verilog HDL的存储器设计8.5 程序逻辑电路的应用

重点:半导体存储器的工作原理和扩展存储容量的方法;基于Verilog HDL的存储器设计方法。 第9章

可编程逻辑器件

(3学时)

目标:了解PLD的几种分类方法。掌握阵列型PLD(PROM、PLA、PAL、GAL、EPLD和CPLD)和单元型PLD(FPGA)的基本结构和特点。掌握PLD的设计方法、设计流程。了解在系统可编程技术与边界扫描技术。了解PLD的编程与配置方法。

主要内容:

9.1 PLD的基本原理9.2 PLD的设计技术9.3 PLD的编程与配置

重点:阵列型PLD和单元型PLD的基本结构和特点;PLD的设计方法、设计流程。

四、 课程知识单元和知识点 第1章

数制与编码(核心)

知识点:数制及其相互转换

二进制数的代码转换

机器数的原码、反码和补码

数的定点与浮点表示 十进制的常用编码

格雷码

字符编码

第2章

逻辑代数和硬件描述语言基础(核心)

知识点:逻辑代数的基本概念

逻辑代数的基本公式、定理和常用公式

逻辑函数的常用表达式和标准表达式 逻辑函数的公式化简法

Verilog HDL语言基础 第3章

门电路

知识点:集成电路的分类

半导体的共价键结构

半导体的分类

半导体的开关特性

PN结的单向导电性 晶体二极管的开关特性

晶体三极管的开关特性

分立元件门

TTL集成门电路的逻辑功能、外部特性和主要参数 ECL等其它双极型集成电路

MOS集成门

基于Verilog HDL的门电路设计方法 第4章

EDA设计工具软件

知识点:数字系统设计流程

常用的PLD设计EDA工具软件

Quartus Ⅱ的设计流程

设计输入方法(创建工程、图形输入、文本输入、建立存储器编辑文件)

设计的编译

引脚锁定方法(前锁定和后锁定)

设计的仿真验证(功能仿真和时序仿真)

时序分析 器件编程

仿真工具ModelSim的使用方法

测试文件模板 第5章

组合逻辑电路(核心)

知识点:组合逻辑电路的特点、分析方法和设计方法

常用组合逻辑电路的电路结构、逻辑功能和使用方法 基于Verilog HDL的组合逻辑电路设计方法

组合逻辑电路的竞争与冒险 第6章

触发器(核心)

知识点:触发器的特点与分类

基本RS触发器的电路结构、工作原理和功能

钟控(同步)触发器的电路结构、工作原理和功能

集成触发器的结构和功能 触发器的开关特性

触发器之间的转换方法

基于Verilog HDL的触发器设计方法 第7章

时序逻辑电路(核心)

知识点:时序逻辑电路的结构、特点、功能描述方法和分析方法

同步时序逻辑电路和异步时序逻辑电路的概念 寄存器、计数器等常用时序逻辑电路的工作原理、逻辑功能及使用方法

基于Verilog HDL的时序逻辑电路设计方法

有限状态机设计

基于Verilog HDL的数字系统设计方法 第8章

程序逻辑电路

知识点:程序逻辑电路的结构及特点

半导体存储器(ROM和RAM)的结构和分类

半导体存储器的工作原理和使用方法 基于Verilog HDL的存储器设计方法

程序逻辑电路的应用 第9章

可编程逻辑器件

知识点:可编程逻辑器件(PLD)的分类方法

阵列型PLD和单元型PLD的基本结构和特点 PLD的设计方法、设计流程

在系统可编程技术

边界扫描技术

PLD的编程与配置方法

上一篇:数字编码教学设计下一篇:死因知识培训试题