电子时钟设计实验报告

2024-04-20

电子时钟设计实验报告(精选8篇)

篇1:电子时钟设计实验报告

电子电路CAD课程设计

流水灯

内 容 摘 要

《电子线路CAD Protel》是一门实践性要求很高的课程,学生需要通过上机实习和设计环节巩固所学知识,进而综合运用所学知识针对不同的用户需求设计开发,实践是非常重要的教学环节。鉴于目前的设备及我国CAD软件的流通性,本课程以PROTEL软件为主体,介绍其基础知识、设计流程及设计方法等问题。学完本课程应使学生掌握原理图及印刷电路板图的编辑、输出、网表生成、检查、分析及建立新原理图、印刷电路板图库等。通过实习学生可以独立实现电路原理图和印刷电路板的设计,为今后在工作中的实际应用打下较为坚实的基础。

本篇课程设计主要以学习为目的。主要通过对Z80存储器板卡的原理图、PCB电路板的绘制达到学习Protel电子CAD的目的,让我们对电子线路CAD Protel 有感性的认识,然后通过上机操作、实践,加深、理解设计原理,掌握Protel的设计技术:了解并掌握用protel软件绘制简单电路图;掌握用protel软件设计库元件;掌握

一般电子线路PCB图设计。

目录

一、封面……………………………………………………1

二、内容摘要………………………………………………2

三、目录……………………………………………………3

四、原理图设计……………………………………………4

五、功能描述………………………………………………5

六、PCB板的绘制…………………………………………6

七、设计中遇到的问题……………………………………8

八、心得体会………………………………………………9

九、参考文献………………………………………………9

四、原理图设计

4.1、原理图设计步骤:

(1)进入Protel99 SE,创建一个数据库,选择菜单File/New命令,双击框中原理图服务器(Schematic Document)图标,建立原理图设计文档,进入原理图设计界面。

(2)在设计管理器中选择Browse SCH页面,在Browse区域中的下拉框中选择Library,然后单击ADD/Remove按钮,在弹出的窗口中寻找Protel99 SE子目录,在该目录中选择Library\SCH路径,在元件库列表中选择所需的元件库,Miscellaneous Devices Ddb,Protel Dos库等,单击ADD按钮,即把元件库增加到元件库管理器中。

(3)根据实际电路的需要,到元件库中找出所需的元件,然后用元件管理器的Place按钮将元件放置在工作平面上,按Space旋转元件位置,再用电器线将个元件连接好同时对元件进行编号、封装和设定。

(4)选择菜单Tool/REC对画好的电路原理图进行电气规则检查。如果有错误,根据错误情况进行改正。

4.2、原理图如下:

五、功能描述

主要功能:

1.C1和C2及Y1组成晶振电路。

2.C3和按键S4及电阻R12构成复位电路。

3.R1到R8给8个LED供电,当P1口的某个角为低电平时,与之对应的LED灯就会亮。4.P1口做为AT89C51的输出口。

六、PCB板的绘制

利用原理图设计工具绘制原理图,并且生成对应的网络表。当然,有些特殊情况下,如电路板比较简单,已经有了网络表等情况下也可以不进行原理图的设计,直接进入PCB设计系统,在PCB设计系统中,可以直接取用零件封装,人工生成网络表。

手工更改网络表 将一些元件的固定用脚等原理图上没有的焊盘定义到与它相通的网络上,没任何物理连接的可定义到地或保护地等。将一些原理图和PCB封装库中引脚名称不一致的器件引脚名称改成和PCB封装库中的一致,特别是二、三极管等。6.1、PCB板的绘制一般步骤:

(1)选择菜单File/New命令,双击框中PCB设计服务器(PCB Document)图标,建立PCB设计文档,进入PCB设计界面。(2)选择菜单Design/Add/Remove Library,在“添加/删除元件库” 对话框中选取所有元件所对应的元件封装库,PCB Footprint。

(3)布线规则是设置布线的各个规范:通过Design—Rules进行设置安全间距、走线层面和方向、过孔形状、走线线宽等a.双面板制作b.VCC和GND线宽为30mil,c.其它走线宽度为15mil。d.过孔为外径30mil,内径为25mil(4)选择菜单Design/Load Nets,然后在弹出的窗口中单击Browse按钮,再在弹出的窗口中选择电路原理图设计生成的网络表.net文件,如果没有错误,单击Execute,也可在Sch原理图菜单

Design—Update Pcb进行,如果出现错误提示,必须更改错误。(5)调整元件封装,合理布局。Protel99 SE既可以进行自动布线也可以进行手工布线,但是一般要手工布线,那样才能符合特定要求以及避免一些电器规则出错

(6)最后做一次电器规则检查Tools工具—DEC 如果有错误则必须更改好,直到最终的PCB板完整做好。6.2、PCB板图

七、设计中遇到的问题

本次课程设计中,遇到最难处理的问题是制作PCB板原理图的最后阶段,不能很好处理布线问题,总是出现绿点。

八、心得体会

为期一个星期的课程设计,我认真仔细地设计电路原理图,期间遇到一些问题,在自己查资料和同学的帮助下,都很好的解决了。这次课程设计让我对DXP等软件地使用更加熟悉了,也进一步加强我的动手能力。通过这次课程设计,我意识到了在制作PCB板的步骤过程中,我还有很多东西不够扎实,以后还有必要很好地去学习这方面的缺欠。

九、参考文献

(1)Protel入门与提高(人民邮电出版社)

篇2:电子时钟设计实验报告

20世纪末,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。例如,许多火灾都是由于人们一时忘记了关闭煤气或是忘记充电时间。尤其在医院,每次护士都会给病人作皮试,测试病人是否对药物过敏。注射后,一般等待5分钟,一旦超时,所作的皮试试验就会无效。手表当然是一个好的选择,但是,随着接受皮试的人数增加,到底是哪个人的皮试到时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。

钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。

论文的研究内容和结构安排

本系统采用石英晶体振荡器、分频器、计数器、显示器和校时电路组成。由LED数码管来显示译码器所输出的信号。采用了74LS系列中小规模集成芯片。使用了RS触发器的校时电路。总体方案设计由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。论文安排如下:

1、绪论 阐述研究电子钟所具有的现实意义。

2、设计内容及设计方案 论述电子钟的具体设计方案及设计要求。

3、单元电路设计、原理及器件选择 说明电子钟的设计原理以及器件的选择,主要从石英晶体振荡器、分频器、计数器、显示器和校时电路五个方面进行说明。

4、绘制整机原理图 该系统的设计、安装、调试工作全部完成。

(一)设计内容要求

1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能的电子钟。

2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试。

3、画出框图和逻辑电路图。4、功能扩展:(1)闹钟系统

(2)整点报时。在59分51秒、53秒、55秒、57秒输出750Hz音频信号,在59分59秒时,输出1000Hz信号,音像持续1秒,在1000Hz音像结束时刻为整点。(3)日历系统。

(二)设计方案及工作原理

数字电子钟的逻辑框图如图1所示。它由石英晶体振荡器、分频器、计数器、译码器显示器和校时电路组成。振荡器产生稳定的高频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲。秒计数器满60后向分计数器进位,分计数器满60后向小时计数器进位,小时计数器按照“24翻1”规律计数。计数器的输出分别经译码器送显示器显示。计时出现误差时,可以用校时电路校时、校分。

三、单元电路设计 1.秒脉冲产生电路(1)1KHZ 振荡器

振荡器由 555 定时器组成。图 3‐1 中是 由 555 定时器构成的 1KHZ 的自

激振荡器 ,其原理是

0.7(2R3+R4+R5)C4=1ms f=1/t=1KHZ。

2、计数器

秒脉冲信号经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位以及“时”个位、十位的计时。“秒”、“分”计数器为60进制,小时为24进制。1、60进制计数器

(1)计数器按触发方式分类

计数器是一种累计时钟脉冲数的逻辑部件。计数器不仅用于时钟脉冲计数,还用于定时、分频、产生节拍脉冲以及数字运算等。计数器是应用最广泛的逻辑部件之一。按触发方式,把计数器分成同步计数器和异步计数器两种。对于同步计数器,输入时钟脉冲时触发器的翻转是同时进行的,而异步计数器中的触发器的翻转则不是同时。

(2)60进制计数器的工作原理

“秒”计数器电路与“分”计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成,如图4所示,采用两片中规模集成电路74LS90串接起来构成的“

”、分

器。

IC1是十进制计数器,QD1作为十进制的进位信号,74LS90计数器是十进制异步计数器,用反馈归零方法实现十进制计数,IC2和与非门组成六进制计数。74LS90是在CP信号的下降沿翻转计数,Q A1和 Q C2相与0101的下降沿,作为“分”(“时”)计数器的输入信号,通过与非门和非门对下一级计数器送出一个高电平1(在此之前输出的一直是低电平0)。Q B2 和Q C2计数到0110,产生的高电平1分别送到计数器的清零R0(1),R0(2),74LS90内部的R0(1)和R0(2)与非后清零而使计数器归零,此时传给下一级计数器的输入信号又变为低电平0,从而给下一级计数器提供了一个下降沿,使下一级计数器翻转计数,在这里IC2完成了六进制计数。由此可见IC1和 IC2串联实现了六十进制计数。其中:74LS90——可二/五分频十进制计数器 74LS04——非门 74LS00——二输入与非门 2、24进制计数器

小时计数电路是由IC5和IC6组成的24进制计数电路,如图5所示。当“时”个位IC5计数输入端CP5来到第10个触发信号时,IC5计数器自动清零,进位端QD5向IC6“时”十位计数器输出进位信号,当第24个“时”(来自“分”计数器输出的进位信号)脉冲到达时,IC5计数器的状态为“0100”,IC6计数器的状态为“0010”,此时“时”个位计数器的QC5和“时”十位计数器的QB6输出为“1”。把它们分别送到IC5和IC6计数器的清零端R0(1)和R0(2),通过7490内部的R0(1)和R0(2)与非后清零,从而完成24进制计数。

3.组合的数字时钟

数字时钟系统的组成利用上面的六十进制和二十四进制递增计数器子电路 构成的数字钟系统

4、校时电路的实现原理 当电子钟接通电源或者计时发现误差时,均需要校正时间。校时电路分别实现对时、分的校准,由于4个机械开关具有震颤现象,因此用RS触发器作为去抖动电路。采用RS基本触发器及单刀双掷开关,闸刀常闭于2点,每搬动一次产生一个计数脉冲,实现校时功能

5.整点报时电路

电路应在整点前 10 秒钟内开始整点报时,即当时间在 59 分 50 秒到 59 分59 秒期间时,报时电路报时控制信号。

当时间在 59 分 59 秒到 00分 00 秒期间时,分十位、分个位和秒十位均保持不变,分别为 5、9 和 5,因此可将分计数器十位的 Qc 和 Qa、个位的 Qd 和 Qa及秒计数器十位的 Qc 和 Qa 相与,从而产生报时控制信号。报时电路可选7个74F08D 来构成

6、电路复位

四、译码与显示电路

1、显示器原理(数码管)

数码管是数码显示器的俗称。常用的数码显示器有半导体数码管,荧光数码管,辉光数码管和液晶显示器等。

本设计所选用的是半导体数码管,是用发光二极管(简称LED)组成的字形来显示数字,七个条形发光二极管排列成七段组合字形,便构成了半导体数码管。半导体数码管有共阳极和共阴极两种类型。共阳极数码管的七个发光二极管的阳极接在一起,而七个阴极则是独立的。共阴极数码管与共阳极数码管相反,七个发光二极管的阴极接在一起,而阳极是独立的。

当共阳极数码管的某一阴极接低电平时,相应的二极管发光,可根据字形使某几段二极管发光,所以共阳极数码管需要输出低电平有效的译码器去驱动。共阴极数码管则需输出高电平有效的译码器去驱动。

2、译码器原理(74LS47)

译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用,表2列出了74LS47的真值表,表示出了它与数码管之间的关系。

四、详细设计与调试

4.1 秒脉冲的产生

秒脉冲发生器

脉冲发生器是数字钟的核心部分,它的精度和稳定度决定了数字钟的质量,通常用晶体振荡器产生标准频率信号经过整形、分频获得1Hz的秒脉冲。石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。如晶32768 Hz,通过15次二分频后可获得1Hz的脉冲输出。

4.2 秒计数、译码及显示部分的设计

秒计数译码电路

秒计数器为M=60的计数器,即显示00~59,采用中规模集成电路双十进制计数器至少需要2片,因为10 < M < 100。它的个位为十进制,十位为六进制。本电路采用两片74LS161实现。当个位计数至1010时,通过 74LS00 二输入与非门连至清零端达到清零,当达到0000时,产生上升脉冲送给十位。十位计数至0110时清零。调试

六.总结

篇3:基于PLC的电子时钟设计与制作

1 电子时钟设计要求

设计一个基于PLC的电子时钟, 分别用两个LED数码管代表24h制的时和分, 时和分之间用发光二极管的闪烁代表秒钟。开始状态为00:00, 启动后开始计时, 可以校准时和分。

2 电子时钟电路板制作

本设计用到四个LED数码管, 选用2.3英寸红色共阴极连接的LG23011AH, 由于PLC的电源为24V, 数码管的额定电压2V, 额定电流20mA, 要使数码管和发光二极管正常工作需要串接2.7限流电阻;为了方便实验连线, 把数码管的各段以插孔的形式引出, 实验板的供电端子为两个接线柱, 红色代表电源正极, 黑色代表电源负极, 正负间接二极管IN4001, 元件清单见表1, 使用Protel绘制电路图, 根据电路图用三氯化铁腐蚀电路板, 并用电钻打孔, 焊接好的电子时钟电路板如图1所示。

3 电子时钟设计思路

本项目所用可编程控制器是日本三菱公司的FX2N-48MR, 输入24点, 输出24点, FX2N是FX系列中功能最强、速度最高的微型PLC。LED数码管是由多个发光二极管封装一起组成“日”字型的器件, 有静态和动态两种驱动形式, 若选用静态驱动, 一个数码管需要占用7个输出点, 4个数码管加上秒控制端, 共需29个输出点, 显然超出了继电器输出点数, 故本项目选用动态驱动, 通过分时轮流控制各个LED数码管的COM端, 使各个数码管轮流受控显示, 每位数码管的点亮时间为10ms~20ms, 由于人的视觉暂留现象及发光二极管的余辉效应, 尽管实际上各位数码管并非同时点亮, 但只要扫描的速度足够快, 给人的印象就是一组稳定的显示资料, 不会有闪烁感, 动态显示的效果和静态显示是一样的, 能够节省大量的I/O口, 而且功耗更低。同时, 节约下来的端口可以控制灯饰系统, 也可以控制住宅小区自动控制系统等等。

4 电子时钟具体实现

根据设计要求和控制思路进行I/O分配, 电子时钟控制采用的输入/输出设备和对应的PLC端子见表2。四个数码管的阳极, 即a~g由Y0~Y6根据梯形图控制其依次显示0~2、0~3、0~5、0~9, 数码管的阴极c1~c4由Y10~Y13控制其间隔20ms轮番导通, Y14控制Dp1s一闪烁。故PLC的COM1/COM2/COM4接24V (+) , COM3接24V (-) 。编程过程中用到了触点型特殊辅助继电器M8011/M8013, 功能指令BCD/SEGD/ALT/SFTR等, 编程软件选用GX Developer, 该软件是三菱通用性较强的编程软件, 支持梯形图、指令表、SFC、ST及FB、Label语言程序设计, 网络参数设定, 可进行程序的线上更改、监控及调试, 具有异地读写PLC程序功能。梯形图编写完毕, 转换之后, 通过“在线”-“写入PLC”, 即可进行电子时钟的调试, 电子时钟梯形图如图2所示。

5 结束语

相当部分的PLC实验教学仅仅满足于实验原理、操作方法的讲解, 缺乏培养学生创新精神、提高实践动手能力的经验和意识, 缺乏将相近实验课程融合的意识和能力。本次实验室开放项目, 要求学生自己设计电子时钟电路, 从使用Protel绘制电路图、用三氯化铁腐蚀电路板、电钻打孔到最后的元器件焊接, 是对电路和模拟电路两门课程的综合应用, 最后用动态方式驱动数码管, 实现了分钟小时可调的电子时钟, 该项目即加强了学生工程实践能力的锻炼与培养, 又提高了学生的PLC编程能力。另外, 该实验板可以作为实验室的自制仪器, 扩充PLC实验仪器, 改善实验教学效果, 促进实验室的建设。

篇4:基于单片机的电子时钟设计概述

前言

目前,单片机在各种领域都得到了广泛的认可和应用,尤其是在智能仪表中的应用更是家常便饭,即引起了單片机的产品变革,又在很大程度上促进了设计理念的革新。智能仪表是智能系统的重要组成部分之一,其正常工作的关键在于单片机的设计。如今,设计单片机系统逐渐成为电子设计专家和设计爱好者的关注焦点。

一、电子时钟的功能

在设计电子时钟时,对电子时钟功能的最基本要求是电子时钟必须具备运行和调整两种状态:第一,运行状态。在此种状态下,需要设计K1、K2两个有效按键,在按下K1键时,电子时钟可以进入调整状态;第二,调整状态。在这种状态下,需要设计K3、K4两个有效按键,当按K1键进入调整状态后按下K3键能够对电子时钟的数字位置进行调节,按下K4将会调节闹钟开关并分别对时分秒数字加一,继续按K3则离开调节状态而进入运行状态。

1.1电子时钟的计时方案

通过计数器中断定时或AT89C51单片机内部定时,合理结合软件延时来对时分秒进行计时。这种电子时钟的设计方案能够节省硬件成本,还能通过定时提醒读者需要做的重要事情。其设计思路不仅可以刺激计数器在程序设计、使用及中断等方面的提高,还能加深对单片机指令系统的掌握,进而实现单片机技术的使用和推广。虽然单片机相比现在的嵌入式等会略逊一筹,但其目前还是应用最广泛的设计芯片。

1.2电子时钟设计原理

电子时钟的主要构成部件是译码显示器、校时电路、时分秒计数器、报时电路与振荡器,主电路系统包括时分秒计数器、校时电路、显示器、整点报时电路、译码器和秒信号发生器。其中,秒信号发生器作为整个系统时基信号,可以直接决定计时系统精确度,通常借助分频器和石英晶体振荡器的相互作用来完成。把标准秒信号输入60进制的“秒计数器”,因而每相隔60秒就会发出“分脉冲”信号,这个信号即为“分计数器”时钟脉冲。“时计数器”应该采用24进制的计时器,这样就能进行每天24小时的计时。电子时钟通常由1601液晶、89C52组成,其驱动电路为晶振电路。电路中的唯一控制键K1具有中断电路的功能,按下K1键后再按设置键K3能够对时分秒、闹钟开关和时分秒位置进行设定,继续按调节键K4可以对时分秒、闹钟开关、闹钟设定的时分秒进行加一调节[1]。

二、电子时钟的硬件与软件设计

2.1电子时钟的硬件设计

电子时钟的核心部分采用51系列单片机,硬件电路包括按键电路、AT89C51单片机、LED显示电路、音乐报时电路。其中,按键电路能够通过按键来切换电子秒表功能和电子时钟,设定电子时钟的时间对时、显示内容、闹钟定时功能,控制电子秒表计时、暂停、继续计时、清零功能。AT89C51单片机片中置有存储器为4K的E2PROM程序,不需要对程序存储器进行外扩,在单片机外侧接入上电复位电路和12MHz晶振电路。LED显示电路选择红色共阳极数码管,通过动态扫描方式显示数据,在段选控制处接限流电阻,在位选控制处利用三极管进行驱动,通过1m/s定时中断服务程序动态扫描显示各个部位。电子时钟的功能时显示按照位次依次显示星期、小时、分钟、秒、十分之一秒、百分之一秒,并且可以通过控制按键切换年月日显示[2]。音乐报时电路的设计需要选取两个不同的HL9300E音乐集成片,便于分别进行定时音乐报时和整点音乐报时。定时音乐报时和整点音乐报时需要接入不同的触发控制端,二者互相切换,进而避免两个音乐集成芯片同步放乐的干扰。在GND与5V电源的AT89C51之间设计加入470uF的电解电容,可在其旁边多并联接几个小的瓷片电容,可改善音质。音乐集成片输出端接扬声器或蜂鸣器,这样可以有效防止因继电器触点吸合而造成的系统复位,从而使由按键清除闹钟定时时间的报时音乐声。

2.2电子时钟的软件设计

把AT89C51内部定时和计数器设置为定时器工作模式是电子秒表和电子时钟的计时基准.时针定时器的中断信号为10m/s,即每经过100次中断,时钟秒位加一,秒位经60次加一后向时位进位,当时间为23时59分59秒时,秒位再加一后变为00时00分00秒。当按键切换为电子秒表功能时,秒针定时器每产生一次中断,十毫秒单元加一,其经过10次加一后,百毫秒单元加一,依次进位可实现最长为9小时59分59秒999毫秒的秒表计时,可达到分辩10m/s的计时精度。

通过K1~K4按键可对时钟加以对时,当第一次按下K1键时,显示数码管第一位闪烁,继续按键时,下一位闪烁。当某一位闪烁时,按下K2按键能够使闪烁位加一。在正常时钟显示方式下,按下K2键可以转换年、月、日的显示。按下K3键能够进入秒表状态,按下K4键能够显示闹钟定时时间[3]。

三、电子时钟的键盘设计

本设计使用单键盘的方式设计,功能较为完备,既能减少对硬盘资源的损耗,又能调节和控制时分秒,使其转换为省电模式。在按键又松开后通过屏蔽数码管显示功能达到省电目的;在按键不松开时能够累加时分秒数字,按键一次累加一分钟;在连续按键两次时,能够调节时针,同样是累加一次为一小时,在达到时间调节目的后,延缓一段时间来判断并确保按下此键,然后对键值和处理程序进行保存。

总结

综上所述,本文设计的电子时钟具有功能齐全、性价比高、电路简单、制作成本低等优点,只要接入单电源即可供电,方便于在办公室和家庭等场所使用。简单的时钟设计对增强电子专业学生的动手能力及独立设计思考能力都会有很大的提高,本片的设计思想可作为市场产品加以推广也可作为电子专业学生设计电子时钟的参考,希望本文对读者有些许帮助。

参考文献

[1]王丹丹,郑宽磊.一种新的基于层次化模式实现的SOC时钟设计方法[J].微电子学与计算机,2011,11(04):89-93.

[2]陈媛媛.基于无线网络的GPS时钟同步与信息发布系统[J].科学中国人,2014,08(23):2.

[3]牛国锋,朱苗苗.基于瑞萨微控制器的LED电子时钟设计与实现[J].常熟理工学院学报,2012,02(18):120-124.

(作者单位:西北师范大学)

作者简介

篇5:电子时钟设计实验报告

目 录

一、设计目的二、程设计具体要求

三、单片机发展简史

四、8051单片机系统简介

五、8051单片机内部定时器/计数器简介

六、程序电路

七、程序流程

八、程序代码

九 实验总结-要求写出完整的论文以及心得体会

十 参考资料及小结

原 文 :一.目的1. 进一步熟悉和掌握8051单片机的结构及工作原理。

2. 掌握单片机的接口技术及相关外围芯片的外特性,控制方法。

3. 通过课程设计,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。

4. 通过实际程序设计和调试,逐步掌握模块化程序设计方法和调试技术。

5. 通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,为今后从事相应打下基础。

二.课程设计的体要求

a)原理图设计。

1. 原理图设计要符合项目的工作原理,连线要正确,端了要不得有标号。

2. 图中所使用的元器件要合理选用,电阻,电容等器件的参数要正确标明。

3. 原理图要完整,CPU,外围器件,扩器接口,输入/输出装置要一应俱全。

b)程序调计

1. 根据要求,将总体项能分解成若干个子功能模块,每个功能模块完成一个特定的功能。

2. 根据总体要求及分解的功能模块,确定各功能模块之间的关系,设直出完整的程序流程图。c)程序调试将设计完的程序输入,汇编,排除语法错误,生成*OBJ文件。

1. 按所设计的原理图,在实验平台上连线,检查无误。

2. 将汇编后生成的*OBJ文件传送到实验装置的,执行该程序,检查该程序、是否达到设计要求,若未达

到,修改程序,直到达到要求为止,d)说明书

1. 原理图设计说明

简要说明设计目的,原理图中所使用的元器件功能及在图中的作用,各器件的工作过程及顺序。

2. 程序设计说明

对程序设计总体功能及结构进行说明,对各子模块的功能以及各子模块之间的关系作较详细的描述。

3. 画出工作原理图,程序流程图并给出程序清单。

目前,单片机已广泛应用到图民经济建设和日常生活的许多领域,成为测控技术现代化必不可少的重要工具。

单片机电子时钟

作者:佚名来源:本站原创点击数:

491更新时间:2007年06月27日

DS1302是Dallas公司生产的一种实时时钟芯片。它通过串行方式与单片机进 行数据传送,能够向单片机提供包括秒、分、时、日、月、年等在内的实时时间

信息,并可对月末日期、闰年天数自动进行调整;它还拥有用于主电源和备份电源的双电源引脚,在主电源关闭的情况下,也能保持时钟的连续运行。另外,它还能提供31字节的用于高速数据暂存的RAM。鉴于上述特点,DS1302已在许多单片机系统中得到应用,为系统提供所需的实时时钟信息。

一、DS1302的主要特性

1.引脚排列

500)this.width=500 border=0>

图1DS1302引脚排列图

DS1302的引脚排列如图1所示,各引脚的功能如下:

X1,X2——32768Hz晶振引脚端;

RST——复位端;

I/O——数据输入/输出端;

SCLK——串行时钟端;

GND——地;

VCC2,VCC1——主电源与后备电源引脚端。

2.主要功能

DS1302时钟芯片内主要包括移位寄存器、控制逻辑电路、振荡器、实时时钟电路以及用于高速暂存的31字节RAM。DS1302与单片机系统的数据传送依靠RST,I/O,SCLK三根端线即可完成。其工作过程可概括为:首先系统RST引脚驱动至高电平,然后在作用于SCLK时钟脉冲的作用下,通过I/O引脚向DS1302输入地址/命令字节,随后再在SCLK

时钟脉冲的配合下,从I/O引脚写入或读出相应的数据字节。因此,其与单片机之间的数据传送是十分容易实现的。

二、时钟的产生及存在的问题

(1)在实际使用中,我们发现DS1302的工作情况不够稳定,主要表现在实时时间的传送有时会出现误差,有时甚至整个芯片停止工作。我们对DS1302的工作电路进行了分析,其与单片机系统的连接如图2所示。从图中可以看出,DS1302的外部电路十分简单,惟一外接的元件是32768Hz的晶振。通过实验我们发现:当外接晶振电路振荡时,DS1302计时正确;当外接晶振电路停振时,DS1302计时停止。因此,我们认为32768Hz晶振是造成 DS1302工作不稳定的主要原因。

500)this.width=500 border=0>

图2DS1302与单片机系统的连接图

(2)DS1302时钟的产生基于外接的晶体振荡器,振荡器的频率为32768Hz。该晶振通过引脚X1、X2直接连接至DS1302,即DS1302是依靠外部晶振与其内部的电容配合来产生时钟脉冲的。由于DS1302在芯片本身已经集成了6pF的电容,所以,为了获得稳定可靠的时钟,必须选用具有6pF负载电容的晶振。

然而,许多人在选用晶振时仅仅注意了晶振的额定频率值,而忽视了晶振的负载电容大小,甚至连许多经销商也不能提供所售晶振的负载电容。所以即使在使用中选用了符合32768Hz的晶振,但如果该晶振的负载电容与DS1302提供的6pF不一致时,就会影响晶振的起振或导致振荡频率的偏移,出现上述在应用中的问题。

三、利用辅助电容实现负载匹配

(1)当所选的晶振负载电容不是6pF时,可以采用增加辅助电容的方法提高或降低DS1302振荡器的电容性负载,使之与晶体所需的电容值匹配。如果已知晶体的负载电容为CI,若CI<6pF,则可以增加一个并联电容CS以产生所需的总负载电容CI,即CI=6pF+CS;若CI>6pF,则可以在晶体的一端增加一个串联电容CS,以产生所需的负载电容CI,即1/CI=1/6pF+1/CS,通过计算即可得出应增加的辅助电容大小。辅助电容的接法如图3所示。

图3CS连接电路图

(2)在使用前对晶体的负载电容并不知道的情况下,通过测定晶体振荡频率的方法可以确定该晶体的负载电容。

对于晶体振荡器来说,其振荡频率与负载电容之间的关系是确定的。以本文讨论的DS1302使用的32768Hz晶振为例:当它工作于所要求的负载电容时,能较准确地产生 32768Hz的频率;当它的负载电容小于6pF时,其振荡频率会正向偏移;当它的负载电容大于6pF时,其振荡频率就会负向偏移。因此,对于未知负载电容的晶体应首先采用实验的方法,在其两端加入辅助电容使晶体起振,然后用频率计测出振荡频率。若测得频率大于32768Hz,说明负载电容偏小;若测得频率小于32768Hz,说明负载电容偏大。对辅助电容逐步调整,最终使振荡频率尽可能接近32768Hz,则此时晶体端所接负载电容的总和就是适合该晶体的负载电容。

结论

以上方法经我们在实际工作中多次使用,证明确实有效。它放宽了DS1302在使用中对晶振的条件要求,增强了DS1302在工作中的稳定性,对DS1302更广泛地应用具有积极的意义。

华东交大理工学院_2007-2008 _学年第_ 一 学期

课程设计安排计划

班级:_05应电__课程:_单片机原理及接口技术_

一、课程设计题目:数码管时钟电路的设计

二、设计内容及要求:

LED数码管时钟电路24小时计时方式,时、分、秒用6位数码管显示。选用AT89C2051单片机,12MHZ晶振,6位共阳数码管,要求有调时功能,其他功能学生可自由发挥。

三、设计方法与步骤:

1.设计硬件原理电路,选择元器件、确定其参数。

2.设计印刷电路板电路(用面包板做)、焊接硬件电路。

3.设计汇编语言程序,调试硬件电路和程序。

4.编写课程设计报告。

四、设计时间安排:

1.第十九周:周一、二,设计硬件原理电路,选择元器件、确定其参数。

周三、四、五,设计印刷电路板电路(用面包板做)、焊接硬件电路。

2.第二十周:周一、二,设计汇编语言程序。

周三、四,烧录程序,调试硬件电路和程序。

周五,编写课程设计报告。

指导老师: 杨威

篇6:数字电子时钟实验心得

时间就是金钱、时间就是生命、时间就是胜利„„,准确的掌握时间和分配时间对人们来说至关重要,时钟是我们生活中必不可少的工具。电子钟的设计方法有很多种,但是基于单片机并通过LCD显示的电子时钟具有编程灵活、精确度高、便于携带、显示直观等特点。

利用STC单片机对DS1302时钟芯片进行读写操作并通过12864中文液晶显示实时时钟信息,这样便构成了一个单片机电子时钟。

关键词:单片机,电子时钟,LCD12864,DS1302,闹钟。

第一章 引言

1957年,Ventura发明了世界上第一个电子表,从而奠定了电子时钟的基础,电子时钟开始迅速发展起来。现代的电子时钟是基于单片机的一种计时工具,采用延时程序产生一定的时间中断,用于一秒的定义,通过计数方式进行满六十秒分钟进一,满六十分小时进一,满二十四小时小时清零。从而达到计时的功能,是人民日常生活补课缺少的工具。

石英表都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调试,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示进而显示时间,减小了计时误差,这种表具有时、分、秒显示时间的功能,还可以进行时和分的校对,片选的灵活性好。

该电子时钟由STC89C52,按键,LCD12864中文液晶显示器,DS1302等构成,采用晶振电路作为驱动电路,由延时程序和循环程序产生的一秒定时,达到时分秒的计时,六十秒为一分钟,六十分钟为一小时,满二十四小时为一天。

第二章电子时钟设计要求及方案论证

1、显示模块选择方案和论证

方案一:

采用点阵式数码管显示。点阵式数码管是由八行八列的发光二极管组成,可用来显示数。但体积较大,且价格也相对较高,从便携实用的角度出发,不采用此种方案。

方案二:

采用LED数码管动态扫描。LED数码管价格便宜,对于显示数字最合适,但功耗较大,且显示容量不够,所以也不用此种方案。

方案三:

采用LCD液晶显示屏。液晶显示屏的显示功能强大,可显示大量文字,显示多样,清晰可见,且价格适中,所以采用了LCD数码管作为显示。

2、时钟芯片的选择方案和论证

方案一:

直接采用单片机定时计数器提供秒信号,使用程序实现年、月、日、星期、时、分、秒计数。采用此种方案虽然减少芯片的使用,节约成本,但是,实现的时间

误差较大。所以不采用此方案。

方案二:

采用DS1302时钟芯片实现时钟,DS1302芯片是一种高性能的时钟芯片,可自动对秒、分、时、日、周、月、年以及闰年补偿的年进行计数,而且精度高,工作电压2.5V~5.5V范围内,2.5V时耗电小于300nA.

3、电路设计最终方案决定

综上各方案所述,对此次作品的方案选定: 采用STC89C52单片机作为主控制系统;采用DS1302作为时钟芯片;采用12864LCD液晶作为显示器件。

第三章单片机简介

1、STC89C52主要功能及PDIP封装

STC89C52是由深圳宏晶科技公司生产的与工业标准MCS-51指令集和输出管脚相兼容的单片机。STC89C52主要功能如表2.1所示,其PDIP封装如图2.1所示

篇7:数字电子时钟开题报告

一、课题任务与目的二、调研资料情况

时钟,自从它发明的那天起,就成为人类的朋友,但随着科技的飞速发展,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。人们对时间计量的精度要求也越来越高,应用越来越广。怎样让时钟更好地为人民服务,这就要求人们不断设计出新型时钟。现今,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都采用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替了机械式转动,用LED显示器代替指针显示进而显示时间,减小了计时误差。

目前,单片机正朝着高性能和多品种方向发展的趋势进一步向CMOS化、低能耗、小体积、大容量、高性能、低价格和外围电路内装化等几个方面发展。

单片机应用的重要意义还在于,它从根本上改变了传统的控制系统设计思想和设计方案。从前必须由模拟电路或数字电路实现的大部分功能,现在已能用单片机通过软件方法来实现了。这种软件代替硬件的控制技术也称为微控制技术,是传统控制技术的一次革命。

数字时钟在单片机模块里比较常见,数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。

数字时钟是采用数字电路实现对时、分、秒、数字显示的计时装置,广泛用于个人家庭,车站,码头办公室等公共场所,成为人们日常生活中不可少的必需品,犹豫数字集成电路的发展和石英晶体振捣器的广泛使用,使得数字时钟的精度,远远超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动开启闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电器的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研

究数字时钟及扩大其应用,有着非常现实的意义

参考文献:

[1] 林凌,李刚,丁茹,李小霞.新型单片机接口器件与技术[M].西安:西安电子科技大学出版社,2005年.[2] 高伟.AT89单片机原理及应用[M].北京:国防工业出版社,2008年.[3] 蔡朝阳.单片机控制实习与专题制作[M].北京:北京航空航天大学出版社,2006年.[4] 杨凌霄.微型计算机原理及应用[M].江苏:中国矿业大学出版社,2004年.[5] 胡学海.单片机原理及应用系统设计[M].北京:北京电子工业出版社,2005年.[6] 边春远,王志强.《MCS-51单片机应用开发实用子程序》人民邮电出版社

[7] 李朝青.《单片机原理及接口技术》第3版北京航空航天大学出版社

篇8:电子时钟设计实验报告

1 板级噪声的主要形式

随着器件制造工艺的不断升级,半导体导通速度越来越快,信号开关边沿速率不断加快,电子产品印制板级的数字噪声越来越大。主要分为两类:

1)单一信号自身的传输由于阻抗不匹配产生的信号反射,对于点对点信号而言,其包络特点为振荡衰减,称之为反射振铃噪声。

2)信号之间的相互干扰,其包络特点为与干扰信号频率对应的短暂的电平抖动,称之为串扰。共用地回流路径的感性串扰导致的同步开关噪声是串扰的一种主要形式。

某产品的实测时钟信号波形如图1所示,数字方波上有明显的噪声毛刺,包含反射噪声和串扰噪声,产品功能出现错误,表现为总线采数异常。

1.1 反射噪声原理

信号沿传输线传播时,其路径上的每一步都有相应的瞬态阻抗。如果互连线的阻抗是可控的,那么瞬态阻抗就等于线的特性阻抗,无论什么原因使瞬态阻抗发生改变,部分信号都将沿着与原传播方向相反方向反射,而另一部分将继续传播,但幅度有所改变。将瞬态阻抗发生改变的地方称作阻抗突变,突变是引起反射噪声的主要因素。

反射噪声的反射系数为:

其中,Z0为传输线的特性阻抗,ZL为负载阻抗。

一般CMOS驱动器的输出阻抗小于传输线的特性阻抗,接收器的输入阻抗远大于传输线的特性阻抗,因此信号在接收端产生正反射,反射信号回到输入端产生负反射,不断地来回反射形成振铃形态。

为了更清晰的量化信号反射的整个过程,我们设定传输线的特性阻抗为100Ω,驱动器的输出阻抗为50Ω,接收器的输入阻抗为950Ω,点对点单网络信号的典型拓扑结构如图2所示:

可知源端和负载端的反射系数分别为:

在源端和负载端的反射波形反弹图如下:

在电路系统中,信号反射导致波形产生振铃噪声的典型波形如图4所示:

1.2 同步开关串扰噪声原理

如果返回路径不是均匀平面,或介质材料非常不均匀时,增加的感性耦合比容性耦合高很多,这时噪声就主要由回路互感决定。这通常发生在互连线的很小区域里,例如封装、接插件以及电路板上返回路径被间隔隔断的区域。

当回路互感占主导地位,并且发生在很小的区域时,可以用单个集总互感来模拟耦合。静态线上由互感产生的噪声,仅在动态线上出现di/dt时才会上升,即边沿切换时,正是由于这个原因,互感占主导地位时产生的噪声称之为开关噪声。地弹在公共引线的局部互感在回路互感中占主导地位的特殊情况下,是开关噪声的一种形式,只要有公共的返回路径就会发生地弹。

同步开关串扰噪声示意公式:

导致数字系统的开关噪声增大的三个主要因素为:

1)重叠回流路径的等效寄生电感L;

2)瞬态开关电流的斜率

3)同时开关信号的数量。

2 时钟信号匹配与滤波设计方法

2.1 降低反射振铃噪声的匹配方案

点对点信号一般选择源端串联端接匹配,即在源端加串联匹配电阻,使得源端输出阻抗Rs和源端串联匹配电阻RT之和等于传输线特性阻抗Z0。这样信号在源端感受到的阻抗就连续了,不会在源端产生二次反射,匹配方法如图5所示,匹配电阻的位置应尽可能地靠近信号的输出端。

端接电阻取值的计算公式为:

根据CMOS工艺的特点,源端输出阻抗Rs一般小于50Ω,PCB板级传输线的特性阻抗Z0一般设计为50Ω,因此源端串联匹配电阻RT一般不大于50Ω。

2.2 降低同步开关串扰噪声的滤波方案

降低噪声的根本方法是要降低重叠回流路径的等效寄生电感L、降低瞬态开关电流的斜率di/dt、减少同时开关信号的数量。但是一旦硬件系统方案已经选定,上述三个方面可优化的程度有界,余下的手段就是进行滤波设计,选择RC低通滤波方案。

典型接收器简化示意模型如图6所示:

输出电阻和器件IO等效电容构成了一个RC低通滤波器,可以通过在末端增加一个串联电阻,或者在末端并联一个电容来调整滤波器的传递函数,电阻和电容不宜太大,否则有效信号的高频分量衰减过大,边沿过缓,会对硬件时序有影响。

2.3 匹配和滤波方案效果的验证

针对文章开头提到的某产品的时钟信号问题,通过仿真和测试微调,最后选定源端串联匹配电阻为33欧姆,末端并联滤波电容为68p F,实测波形如图7所示,产品总线采数恢复正常,问题得到解决。

3 结束语

上一篇:写手须知V下一篇:6月入党积极分子思想汇报