eda课程设计参考题目

2023-06-05

第一篇:eda课程设计参考题目

EDA课程设计题目

课程设计题目 设计一 数字式竞赛抢答器

1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。

5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。

教学提示:

1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到 第一信号后将输入封锁,使其它组的抢答信号无效。

2、 形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬 声器发出音响。

3、 计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减 10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二 数字钟

1、 设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、 熟练掌握各种计数器的使用。

3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、 能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三 数字频率计

1、 设计一个能测量方波信号的频率的频率计。

2、 测量的频率范围是0?999999Hz。

3、 结果用十进制数显示。 教学提示:

1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器 所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被 测信号的频率。

2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、 再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信 号的选择可以控制,即量程可以改变。

4、 时基信号经控制电路产生闸门信号至主控门, 只有在闸门信号采样期间内(时基信号的一个周期), 输入 信号才通过主控门。

5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数 器和所有触发器复位,为新的一次采样做好准备。

7、 改变量程时,小数点能自动移位。

设计四 拔河游戏机

1、 设计一个能进行拔河游戏的电路。

2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按 一次,亮点移动一次。

4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使 亮点恢复到中心。

5、 用数码管显示获胜者的盘数。

教学提示:

1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码 器输出,使中间一只二极管发亮。

3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止 计数。

5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行 一次计数,这样得到双方取胜次数的显示。

6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关, 即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球 才有效。

教学提示:

1、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由 击球者通过按钮输入开关信号,实现移位方向的控制。

2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方 的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、 任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反 方向移动,即失去1分。

4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号灯控制器

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、 黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干 道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、 25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中, 要亮5秒黄灯作为过渡, 使行驶中的车辆有时间停到禁行线外, 设立5秒计时、显示电路。

教学提示:

1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、 选择 1HZ 时钟脉冲作为系统时钟。

3、 45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所 需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动 另一计时电路。

4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B, ;45 秒、25 秒、5 秒定 时信号(C,D,E) ,其输出状态控制相应的三色灯。主控电路可以由两个 JK 触发器和逻辑门构成, 其输出经译码后,控制主干道三色灯 R、G、Y 和支干道三色灯 r、g、y。

设计七 电子密码锁

1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿 灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、 在锁的控制电路中储存一个可以修改的 4 位代码,当开锁按钮开关(可设置成 6 位至 8 位,其中实际 有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;

3、 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并 由扬声器发出持续 20 秒的报警信号。 教学提示:

1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比 较的方式,若两者相等,则形成开锁信号。

2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信 号点亮 LED 指示灯;

3、 用按钮开关的第一个动作信号触发一个 5 秒定时器,若 5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动 LED 不断闪烁。

设计八 彩灯控制器

1、 设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。 教学提示: 教学提示

1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成;

2、 音响由选择不同频率 CP 脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、 设计一个具有 8 位显示的电话按键显示器;

2、 能准确地反映按键数字;

3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、 *挂机 2 秒后或按熄灭按键,熄灭显示器显示。

教学提示:

1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、 设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、 设计一个简易电子琴;

2、 利用实验箱的脉冲源产生 1,2,3,……共 7 个或 14 个音阶信号;

3、 用指示灯显示节拍;

4、 *能产生颤音效果。

教学提示:

1、 各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示 总金额,最大值为 99.99 元;

2、 行车里程单价 1 元/公里,等候时间单价 0.5 元/10 分钟,起价 3 元(3 公里起价)均能通过人工输入。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每 100 个脉冲表示 1 公里,然后用 BCD 码比例乘法 器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为 0.01 元/脉冲。

4、 用 LED 显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如 100 个脉冲表示 10 分钟,而 10 分钟收费 0.5 元,则脉冲当量为 0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲) ,则 10 分钟内的脉冲数应为 500 个。

2、 用 LED 显示等候时间,两个数码管表示等候时间收费金额。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、 设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转 20 秒→暂停 10 秒→反转 20 秒→暂停 10 秒→定时未到回到“正转 20 秒→暂停 10 秒→……” ,定时到则停止;

2、 若定时到,则停机发出音响信号;

3、 用两个数码管显示洗涤的预置时间 (分钟数) 按倒计时方式对洗涤过程作计时显示, , 直到时间到停机; 洗涤过程由“开始”信号开始;

4、三只 LED 灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、 设计 20 秒、10 秒定时电路。

2、 电路输出为“正转”、“反转”、“暂停”三个状态。

3、 按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。 13. 可控脉冲发生器

内容及要求:

实现周期、占空比均可调的脉冲发生器。 (1)采用1khz的工作时钟;

(2)脉冲周期0.5s~6s,占空比10%~90%; (3)可初始化:周期2.5s,占空比50%;

14. 8bit序列检测器

内容及要求:

完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下: (1)输入2bit码流,msb在前,4个周期的数据组成一个结构化字节; (2)检测序列0x7e;

(3)成功检测到特定序列后,点亮一个LED;

(4)操作中采用开关作为数据输入,按键作为有效数据指示信号; (5)工作时钟选择1k即可;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

15人表决电路设计

内容及要求

完成7人表决电路设计,LED灯表示通过、否决。 (1)开关表示赞成与否,1~8编号(1赞成); (2)LED显示表决的结果;

(3)数码管分别显示赞成、否决的人数; (4)工作时钟100Hz即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

16排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

17算术计算器电路设计

内容及要求

实现一位十进制数的加减乘除元算,结果显示在数码管上。 (1)键盘作为运算数据输入; (2)不同位置的按键代表运算符; (3)2个数码管显示运算结果; (4)支持连续运算;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

18电梯控制器电路设计

内容及要求

设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。 (1)楼层4层;

(2)梯内显示当前楼层、梯外各层显示当前电梯所在楼层;

(3)1层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮; (4)电梯具有锁定功能(梯内);

(5)超重/超员报警(电路有空载、超重、乘客入梯指示输入); (6)采用Altera的cyclone器件,目标板soc实验室开发板; (7)设计相应的调度算法,使运营成本最低;

(8)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、下载验证等。 19 LCD模块接口电路设计

内容及要求

实现NiosII驱动240X128LCD显示。

(1)T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0~D

7、nRD、nWR、nCE以及C/D信号,当C/D为‘1’时,选中指令通道,数据总线上的数据均为指令;当C/D为‘0’时,选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行信号msb指示;

(2)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

20 音频信号存储与播放

内容及要求

(1)4.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放; (2)音频数据自行解决,可采用语音传感器采集; (3)扬声器播放;

(4)可以部分播放,时长可控;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

21 脉宽调制电路设计

内容及要求 脉宽调制电路。 (1)脉宽可调; (2)周期可调;

(3)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

22. 计时器电路设计

内容及要求

设计时、分、秒计时器电路,数码管显示输出。 (1)输入10K精准时钟;

(2)具有时、分、秒计时功能,24小时制; (3)时分秒均可手动调整校正;

(4)计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

23 FIR滤波器电路设计

内容及要求

完成有限冲激响应低通数字滤波器设计。 (1)滤波频率20kHz;

(2)增益不作要求,抽头系数自行计算; (3)同步电路设计;

(4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

24自动售货机电路

内容及要求

设计自动售货机电路,要求如下:

(1)待售物品价格1元、2元、3元、五元; (2)只接受1元、5元、10元币值; (3)机内存有1元零钱无限;

(4)投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品; (5)自动找零;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。 25. 排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

26信号发生器系统设计

内容及要求

设计一个简易信号发生器。要求能够产生正弦波、方波、锯齿波和三角波信号,并能够实现调频功能;最终能在示波器上观察到各种波形。

27 汽车尾灯控制器设计

内容及要求

根据现代交通规则,汽车尾灯控制器应满足以下基本要求: (1)汽车正常使用时指示灯不亮; (2)汽车右转时,右侧的一盏灯亮; (3)汽车左转时,左侧的一盏灯亮;

(4)汽车刹车时,左右两侧的指示灯同时亮;

(5)汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用。

28 简易音乐播放器

内容及要求

产生音乐的两个因素是音乐频率和音乐的持续时间,以纯硬件完成演奏电路比利用微处理器(CPU)来实现乐曲演奏要复杂的多如果不借助于功能强大的EDA工具和硬件描述语言,凭借传统的数字逻辑技术,即使最简单的演奏电路也难以实现。根据设计要求,乐曲硬件演奏电路系统主要由数控分频器和乐曲存储模块组成。数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。 29自动售邮票机

内容及要求

设计一个自动售邮票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票。

购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。

30看门狗设计

内容及要求

1.看门狗电路时电子系统,是嵌入式系统中常用的抗干扰措施之一,其作用是在程序“跑 飞”后强制系统复位。

2.看门狗实际上是一个计数器,它需要在一定时间内被清零,否则,看门狗将产生一个复位信号使系统重新启动。 3. 系统主要由3个功能模块构成: 1) 计数比较模块; 2) 分频模块; 3) 复位计时模块

第二篇:课程设计参考题目及要求

课程设计 题目 :

环境与能源工程学院

分院名称 : 课程名称 : 学姓

号 : 名 :

指导老师 :

同学们也可到网站上另选题目,字数不得少于7K字。

一、课程设计内容组成

课程设计应由以下几部分组成:

1. 课程设计(设计)题目;2. 摘要(中、英文);3. 关键词;4. 目录;5. 正文;6. 参考文献;7. 致谢;

二、课程设计撰写内容要求

1. 设计题目

设计题目应简短、明确、有概括性;字数要适当,一般不宜超过20个汉字。

2. 摘要

摘要以浓缩的形式概括课题的内容,中文摘要300汉字左右,英文摘要应与中文摘要相对应。

3. 关键词

关键词是表述设计主题内容信息的单词或术语,关键词数量一般不超过6个。每个关键词之间用分号隔开,最后一个关键词不加标点符号。

4. 目录

目录作为课程设计提纲,是课程设计各组成部分的小标题,文字应简明扼要。目录按章节排列编写,标明页数,便于阅读。章节、小节等应按数字依次标出。标题应层次清晰,并与正文中的标题一致。

5. 正文

课程设计正文应包括前言、正文主体两部分。前言应说明课题的意义、目的、主要研究内容、范围及应解决的问题。正文主体是对设计研究工作和成果的详细表述,一般由标题、文字、图、表格和公式等部分组成。

6. 参考文献

参考文献是课程设计不可缺少的组成部分,也是作者对他人知识成果的承认和尊重。参考文献15篇以上(其中学术设计10篇以上,含2篇以上英文等外文设计;教材、学术专著等5部以上)。参考文献应按文中引用出现的顺序列全,附于文末。

三、撰写格式要求

课程设计使用计算机打印,打印格式如下:

1. 封页上的内容一律按照统一封面的样张式样打印,必须正确无误。

2. 封面和全文纸张大小为A4开本。页面设置:上下左右页边距均为2.5厘米;行距1.5厘米;字距为默认值。

3. 页眉和页脚:页眉与正文间距1.5厘米。页眉内容为:江西工程学院课程设计,内容居中,采用小4黑体。页脚的页号设置在正中,书写“第×页

共×页”。

4.题目和摘要页:

① 设计题目为3号黑体字,可以分为1或2行居中打印。

② 设计题目下空一行打印“摘要”(4号黑体,缩进两个字符),摘要内容为小4号宋体。

③ 摘要下面打印“关键词”(4号黑体,缩进两个字符),关键词之间用分号隔开,最后一个关键词后不要标点符号,字为小4号宋体。

④ 空一行打印英文题目、摘要和关键词,其格式参照中文格式要求。

5. 目录页:

“目录”二字(3号黑体居中),下空一行为章、节、小节及其开始页码。章为4号黑体,节为小4号黑体,小节及其开始页码为小4号宋体。章与章之间空一行。

6.标题:每章标题以3号字黑体居中打印;“章”下空两行为“节” ,以4号黑体左起顶格打印;“节”下空一行为“小节”,以5号黑体左起顶个打印。换行打印设计(设计)正文。

7.正文

首行缩进两个字符采用小4号宋体字打印。行距1.5厘米,字距为默认值。

8. 图:图下方居中标明图号和图题。图题若采用中英文对照时,其英文字体为5号正体,中文字体为5号楷体。

9. 表格:表格按章顺序编号,如表3-1为第三章第一表。表应有标题,表内必须按规定的符号注明单位(5号字体居中打印)。

10. 公式:公式书写应在文中另起一行。公式后应注明该式按章的顺序编排。

11. 参考文献页:

另起一页打印“参考文献”(3号黑体居中)。按设计中参考文献出现的先后顺序用阿拉伯数字连续编号,并将序号置于方括号内,(小4号宋体顶格打印)。具体内容有:

① 主要责任者(专著作者、设计集主编、学位申报人、专利申请人、期刊文章作者、文章作者)。多个责任者之间以“,”分隔,注意在本项数据中不得出现缩写点“.”。主要责任者只列出姓名,其后不加“著”、“编”、“主编”等。

② 文献题名及版本(初版略)。

③ 文献类型及载体类型标识。文献类型标识为:专著[M],期刊[J], 设计集[C],学位设计[D],报纸文章[N],报告[R],专利[P]。

④ 出版项(出版地、出版者、出版年)。

⑤ 文献出处或电子文献的可获得地址。

⑥ 文献起止页码。

⑦ 文献标准编号(标准号、专利号……)。

⑧ 格式:

a. 参考文献若是专著、设计集、报告等:

[角标序号]主要责任者.文献题名[文献类型标识].出版地:出版者,出版年.起止页码。

例:[1]谢幻如.多媒体教学软件设计[M].北京:电子工业出版社,1999.

b. 参考文献若是期刊文章:

[角标序号]主要责任者.文献题目名[J].刊名,年,卷(期):起止页码.

例:[1]朱巧明.用VB来开发辅助教学软件[J].苏州大学学报(自然科学版),1998,14(3):22-26.

注意:此处的参考文献不宜与任务书所列参考文献完全一致。

12.致谢页:

另起一页打印“致谢”(3号黑体居中),致谢内容小4号宋体,首行缩进2个字。

第三篇:数字信号处理课程设计参考题目

数字信号处理课程设计资料

使用MATLAB(或其他开发工具)编程实现下述内容并写出课程设计报告。

一、课程设计参考题目与设计内容(也可自行选题)

设计一基于DFT的信号频谱分析 主要要求:

1.对离散确定信号作如下谱分析:

(1) 截取x(n)使x(n)成为有限长序列N,(长度N自己选)写程序计算出x(n)的N点DFT的 X(k),并画出时域序列图和相应的幅频图。

(2) 将 (1)中x(n)补零加长至M点,长度M自己选(,为了比较补零长短的影响,M可以取两次值,一次取较小的整数,一次取较大的整数),编写程序计算x(n)的M点DFT, 画出时域序列图和两次补零后相应的DFT幅频图。

2. 研究信号频域的物理分辨率与信号频域的分析分辨率,明白两者的区别。 (1)采集数据x(n)长度取N=16点,编写程序计算出x(n)的16点DFTX(k),并画出相应的幅频图。

(2) 采集数据x(n)长度N=16点,补零加长至M点(长度M自己选),利用补零DFT计算 x(n)的频谱并画出相应的幅频图。

(3) 采集数据x(n)长度取为M点(注意不是补零至M),编写程序计算出M点采集数据x(n)的的频谱并画出相应的幅频图。

3.对比设计内容

1、2中各个仿真图,说明补零DFT的作用。补零DFT能否提高信号的频谱分辨率,说明提高频谱物理分辨率与频谱频域分辨率的措施各是什么?

设计二用窗函数法设计FIR数字低通滤波器 主要要求:

1.熟悉各种窗函数,在MATLAB命令窗下浏览各种窗函数,绘出(或打印)各种窗函数图。

2.编写计算理想低通滤波器单位抽样响应的m函数文件。

3根据指标(低通FIR滤波器的指标自行选择)要求选择窗函数的形状与长度N。 4.编写m程序文件,通过调用设计内容

2、3的m程序文件,计算所设计的实际低通FIR滤波器的单位抽样响应和频率响应,并打印在频率区间[O,π]上的幅频响应特性曲线,幅度用分贝表示。 6.验证所设计的滤波器是否满足指标要求。

7.比较所选窗长N相同但窗形状不同对滤波器设计结果的影响以及选同一种窗函数但窗长N不同时对滤波器设计结果的影响,将结论写在报告中。

设计三 FIR数字滤波器设计 主要要求:

1.分别设计低通、带通、带阻和高通四种数字滤波器(FIR数字滤波器的指标自行选择);

2.说明设计目的,并分别阐述上述四类滤波器的设计原理、设计步骤,并给出所编写的相应的m程序;

3.仿真并打印上述四种滤波器的单位抽样响应和频率响应(频率区间[O,π]上的幅频响应特性曲线),并分析各个滤波器的特点,将结论写在报告中。

设计四

IIR数字滤波器设计 主要要求:

1.分别设计低通、带通、带阻和高通四种数字滤波器(FIR数字滤波器的指标自行选择);

2.说明设计目的,并分别阐述上述四类滤波器的设计原理、设计步骤,并给出所编写的相应的m程序;

3.仿真并打印上述四种滤波器的单位抽样响应和频率响应(频率区间[O,π]上的幅频响应特性曲线),并分析各个滤波器的特点,将结论写在报告中。

设计五语音信号去噪处理 主要要求:

1.在Windows环境下利用录音机或其他软件,录制一段自己的语音信号,时间控制在1秒左右,并对所录制的语音信号进行采样处理; 2.对语音信号做频谱分析,即画出采样后语音信号的时域波形和频域图;在语音信号中加入噪声信号(至少两种不同噪声信号),画出加噪语音信号的时域波形和频域图;

3.根据上步加噪语音信号频谱分析结果,确定数字滤波器的技术指标,设计合适的数字滤波器滤除噪声信号,并画出滤波器的频率响应曲线;

4.用所设计的数字滤波器对加噪语音信号进行滤波,并画出滤波后语音信号的时域波形和频域图,对滤波前后的语音信号进行对比,分析信号的变化; 5.利用MATLAB软件中的sound(x)函数实现对去噪语音信号的回放,验证设计效果。

二、课程设计撰写具体要求 1. 阐述所选题目设计目的和要求;

2. 阐述所选题目的设计思想(各种理论推导和计算)、系统功能结构及功能说明,并列出相应重要的MATLAB程序; 3. 绘出设计中要求的各种曲线,并做出说明;

4. 结合设计过程,归纳得出结论,并分析设计中遇到的问题及解决思路和方法; 5. 写出设计体会; 6. 参考文献;

7. 程序源代码清单(放入课程设计报告册附录中)。

8.课程设计内容要求充实,叙述完整,语言流畅,格式规范,15~20页,A4纸打印。

9.课程设计报告封面要求:

10. 设计报告要包含摘要关键词(3-5个) 11. 目录

一设计目的与要求………………………………………页码 二总体设计方案…………………………………………页码 三设计原理、结果与仿真分析…………………………页码 四结论……………………………………………………页码 五心得体会………………………………………………页码 参考文献…………………………………………………页码 附录………………………………………………………页码

特别注意:

1.所有的图要有编号和图名,所有的表也要有编号和表名; 2.数学公式要居中,公式编号右对齐。

第四篇:EDA课程设计论文

目录

一、 摘要

二、 概述

2.1目的与要求 2.2实验仪器与设备 2.3实验注意事项 2.4设计环境

三、 实验内容

四、4位加法器设计实现过程

4.1元件选择

4.2编辑半加器的原理图 4.3编译设计图形文件 4.4生成元件符号 4.5功能仿真设计文件 ① 建立波形文件 ② 输入信号节点

③ 设置波形参量

④ 设定仿真时间宽度 ⑤ 加入输入信号 ⑥ 波形文件存盘 ⑦ 进行仿真

4.6 1位全加器的实现过程 4.7 四位加法器实现过程

五、 收获与心得体会

一、 摘要

随着电子技术和计算机技术的飞速发展,电子线路的设计工作也日益显得重要。经过人工设计、制作实验板、调试再修改的多次循环才定型的传统产品设计方法必然被计算机辅助设计所取代,因为这种费时费力又费资源的设计调试方法既增加了产品开发的成本,又受到实验工作场地及仪器设备的限制。

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

二、概述

2.1目的与要求

1、学习MAX+plusⅡ工具软件的基本功能和使用方法。

2、学习使用原理图输入法设计半加器,掌握原理图输入法的操作步骤。

3、初步掌握设计电路原理图的编辑、编译、仿真等操作方法。 每次实验前,学生须仔细阅读本实验指导书的相关内容:

1)明确实验目的和实验内容; 2)明确实验原理与步骤;

3)复习与实验内容有关的理论知识;

4)预习仪器设备的使用方法、操作规程及注意事项。

2.2实验仪器与设备

1、PC机

2、MAX+plus II 软件

2.3实验注意事项

1.实验开始前,应先检查本人的计算机是否安装相关软件,了解其软件的使用方法和要求。

2.实验时每个同学应单独设计程序、操作、记录实验结果等,使每个同学受到全面训练。

3.测量数据或观察现象要认真细致,实事求是。使用计算机要符合操作规程,切勿随便重启频繁开关计算机。。

4.未经许可,不得动用其它人的仪器设备或计算机等物。

5.实验结束后,实验记录交指导教师查看并认为无误后,离开机房。最后,应清理计算机,备份编写程序。

6.爱护公物,发生仪器设备等损坏事故时,应及时报告指导教师,按有关实验管理规定处理。

7.自觉遵守学校和实验室管理的其它有关规定。

2.4设计环境

QuartusII design

于system-on-a-programmable-chip (SOPC)的设计环境。 QuartusII design 提

供完善的 timing closure 和 LogicLock™ 基于块的设计流程。QuartusII design是唯一一个包括以timing closure 和 基于块的设计流为基本特征的programmable logic device (PLD)的软件。 Quartus II 设计软件改进了性能、提升了功能性、解决了潜在的设计延迟等,在工业领域率先提供FPGA与mask-programmed devices开发的统一工作流程。

Altera Quartus II 作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。

三、 实验内容

以Altera公司的MAX+plus II为工具软件,采用原理图输入法设计半加器h_adder,生成元件符号,并仿真验证设计结果。

四、4位加法器设计实现过程

4.1元件选择

在MAX+plus II工具软件的元件库中已经有与门、或门、与非门和异或门等元件,在设计中可直接调用这些元件,实现电路设计。

图1 半加器原理图

在元件选择对话框的符号库“Symbol Libraries”栏目中,用鼠标双击基本元件库文件夹“d:maxplus2max2libprim”后,在符号文件“Symbol Files”栏目中列出了该库的基本元件的元件名,例如and2(二输入端的与门)、xor(异或门)、VCC(电源)、input(输入)和output(输出)等。在元件选择对话框的符号名“Symbol Name”栏目内直接输入xor,或者在“Symbol Files”栏目中,用

鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到其他元件符号。

4.2编辑半加器的原理图

半加器逻辑电路图如图1所示,它由1个异或门和1个与门构成,a、b是输入端,SO是和输出端,CO是向高位的进位输出端。

在元件选择对话框的符号名“Symbol Name”栏目内直接输入xor,或者在“Symbol Files”栏目中,用鼠标双击“xor”元件名,即可得到异或门的元件符号。用上述同样的方法也可以得到与门及输入端和输出端的元件符号。用鼠标双击输入或输出元件中原来的名称,使其变黑后就可以进行名称修改,用这种方法把两个输入端的名称分别更改为“a”和“b”,把两个输出端的名称分别更改为“SO”和“CO”,然后按照图1所示的半加器逻辑电路的连接方式,用鼠标将相应的输入端和输出端及电路内部连线连接好,并以“h_adder.gdf”(注意后缀是.gdf)为文件名,存在自己建立的工程目录d:myedamygdf内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。

4.3编译设计图形文件

设计好的图形文件一定要通过MAX+plus II的编译。在MAX+plus II集成环境下,执行“MAX+plus”菜单下的“Compiler”命令,在弹出的编译对话框中单击“Start”按钮,即可对h_adder.gdf文件进行编译。

在编译中,MAX+plus II自动完成编译网表提取(Compiler Netlist Extractor)、数据库建立(Database Builder)、逻辑综合(Logic Synthesizer)、逻辑分割(Partitioner)、适配(Fitter)、延时网表提取(Timing SNF Extractor)和编程文件汇编(Assembler)等操作,并检查设计文件是否正确。存在错误的设计文件是不能将编译过程进行到底的,此时计算机会中断编译,并在编译(Compiler)对话框中指出错误类型和个数。

4.4生成元件符号

在MAX+plus II集成环境下,执行“File”菜单下的“Create Default Symbol”

命令,将通过编译的GDF文件生成一个元件符号,并保存在工程目录中。这个元件符号可以被其他图形设计文件调用,实现多层次的系统电路设计。

4.5功能仿真设计文件

仿真,也称为模拟(Simulation);是对电路设计的一种间接的检测方法。对电路设计的逻辑行为和功能进行模拟检测,可以获得许多设计错误及改进方面的信息。对于大型系统的设计,能进行可靠、快速、全面的仿真尤为重要。

① 建立波形文件

进行仿真时需要先建立仿真文件。在Max+p1us II环境执行“File”的“New”命令,再选择弹出的对话框中的Waveform Editor fi1e项,波形编辑窗口即被打开。

② 输入信号节点

在波形编辑方式下,执行“Node”的“Nodes from SNF”命令,弹出输入节点“Enter Nodes from SNF”对话框,在对话框中首先单击“List”按钮,这时在对话框左边的“Available Nodes&Groups” (可利用的节点与组)框中将列出该设计项目的全部信号节点。若在仿真中只需要观察部分信号的波形,则首先用鼠标将选中的信号名点黑,然后单击对话框中间的“=>”按钮,选中的信号即进入到对话框右边的“Selected Nodes&Groups”(被选择的节点与组)框中。如果需要删除“被选择的节点与组”框中的节点信号,也可以用鼠标将其名称点黑,然后单击对话框中间的“<="按钮。节点信号选择完毕后,单击“OK”按钮即可。

③ 设置波形参量

在波形编辑对话框中调入了半加器的所有节点信号后,还需要为半加器输入信号a和b设定必要的测试电平等相关的仿真参数。如果希望能够任意设置输入电平位置或设置输入时钟信号的周期,可以在Options选项中,取消网格对齐Snap to Grid的选择(取消钩)。

④ 设定仿真时间宽度

在仿真对话框,默认的仿真时间域是1μS。如果希望有足够长的时间观察仿真结果,可以选择“File”命令菜单中的“End Time”选项,在弹出的“End Time”对证框中,填入适当的仿真时间域(如5μS)即可。

⑤ 加入输入信号

为输入信号a和b设定测试电平的方法及相关操作如教材图2.1.3所示,利用必要的功能键为a和b加上适当的电平,以便仿真后能测试so和co输出信号。

⑥ 波形文件存盘

以“h_adder.scf”(注意后缀是.scf)为文件名,存在自己建立的工程目录d:myedamygdf内。在波形文件存盘时,系统将本设计电路的波形文件名自动设置为“h_adder.scf”,因此可以直接单击确定按钮。

⑦ 进行仿真

4.6 1位全加器的实现过程

1位全加器可以用两个半加器及一个或门连接而成。其原理图如图2所示。 在Quartus7.2图形编辑方式下,在用户目录中找到自己设计的半加器元件h_adder,并把它调入原理图编辑框中(调入两个),另外从d:maxplus2max2libprim元件库中调出一个两输入端的或门,并加入相应的输入和输出元件,按照图1所示电路连线,得到1位全加器电路的设计结果。电路中的a和b是两个1位二进制加数输入,cin是低位来的进位输入,sum是和输出,cout是向高位进位输出。

图2 1位全加器原理图

按以上步骤进行仿真,仿真图如下:

1位全加器仿真图

4.7 四位加法器实现过程

在一位全加器的基础上设计四位全加器,其原理图如图所示

图3 四位加法器原理图

按以上操作进行仿真,仿真图如:

五、 收获与心得体会

本次的EDA课程设计历时一星期,时间虽短,但通过一个星期的实践,使我对EDA技术有了更进一步的了解。同时,大致懂得了一个课题制作的具体流程和实施方法。另外,课程设计对QuartusⅡ软件的使用要求较高,从而使我能较为熟练的运用此软件。在设计时,采用模块化的设计思路使得问题变的简单明了,大大缩短了时间,降低了发生错误的机侓,也便于修改和更新。

课程设计中,需要找很多资料,在当今的信息化环境中,虽然资料很多,但需要仔细斟酌才能找到所要的。这次的课程设计很好的锻炼了这种能力。此外,与同学和老师的交流必不可少,我从中也学到了不少东西。

课程设计是一次很好的锻炼机会,我从中学的很多知识对将来的学习和工作都有很大的帮助,十分感谢学校能提供这样一个机会。

第五篇:EDA课程设计感想

这是一次综合性很强的实验,从最初的模型规划,到具体功能的实现,再到电路的连接,直至最后的电路调试,每一个环节都让我加深了对实际问题的思考,同时也让我动手能力有了很大的提高。

这次最大的收获就是学会了很系统地去解决一个实际问题,学会了巧妙运用模块化的思想。在整个电路设计与实现中,最成功的地方就是有条理地将功能细化,分成一个一个小的功能来实现。没做好一个小功能实现的电路,就将其集成为一块具有此功能的芯片,这样,在之后的电路连接中就只要将这块芯片接入即可,最后就这样一级一级地将电路集成,最后生成的电子钟电路就只是一块芯片,只要加一些其他外部控制开关与显示电路就能实现此多功能电子钟的各功能。

在这个过程中,我更深刻地体会从分立元件到中下规模集成电路再到大规模集成电路的组成过程。同时也更加深入地了解了Quarterii这一软件的更多的功能。更重要的是在此过程中,我学会了独立思考,遇到问题一步一步去研究与解决解决,对于电路出现的问题不急于拆线,而是一部分一部分地对其应有的功能进行调试,对问题进行各个击破。总而言之,这次实验让我觉得受益匪浅,不再觉得学无所用。实验所解决的问题与生活紧密相连,从而将平时学书本上的理论与实践很好地结合起来,最终当做出成品时,有很大的成就感。

七.遇到的问题及解决方案

1.编译通过后,下载到硬件上之后,发现程序并没有预期的效果。如显示乱序而没有规律等等,当加入适当的选通信号或者脉冲后发现问题得到了解决。所以必须在实践中不断地修改以得到正确的结论。

2.高电平有效还是低电平有效,这是一个非常容易忽视的问题,有时就知道这个端口要控制信号但不考虑好什么电平有效,造成错误,使得使能端或者清零端的出现错误控制信号。并且到底是脉冲控制,还是边沿控制一定要清楚。在实验时候可以避免一些不必要的麻烦。

3.引脚重复使用也是一个问题,有时候输出信号必须输出到一个特定的引脚。而输出来自两路信号,这时候必须加入一个选择器件选择输出信号输出。

在实际调试的时候我们必须耐心思考,遇到问题针对问题出现的原因认真思考以解决问题。 ---------------------

这次的课程设计对于我来说是毕业前的一次演练,从抽题到画出流程图,再到写出程序,不停的编不停的改不停的查资料……直至最后完成,搭档和我都非常的努力,一有问题大家都坐在一起讨论,一起努力,一起攻克问题。我想我们享受的就是这个过程,而不仅仅只是结果。通过这次的设计,我知道了,在任何问题面前,只要我们努力,只要我们勇敢,我想,他们都不是问题,都不是困难。最后感谢搭档的努力和合作,感谢老师的指导。 ---------------

这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。特别是当每一个子模块编写调试成功时,心里特别的开心。但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。经过屡次调试,终于找到了比较合适的输入数值:时钟周期设置在1zzxu.cne的值需要设置的长一点:500us左右,这样就可以观察到完整的仿真结果。

其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一

样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

总的来说,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,最后在老师的辛勤的指导下,终于游逆而解,有点小小的成就感,终于觉得平时所学的知识有了实用的价值,达到了理论与实际相结合的目的,不仅学到了不少知识,而且锻炼了自己的能力,使自己对以后的路有了更加清楚的认识,同时,对未来有了更多的信心。最后,对给过我帮助的所有同学和各位指导老师再次表示忠心的感谢!

上一篇:关于春天的100首诗词下一篇:风景在路上550字作文