数字频率计课设报告

2022-08-27

报告是日常生活与学习的常见记录方式,报告有着明确的格式。在实际工作中,我们怎么样正确编写报告呢?以下是小编整理的关于《数字频率计课设报告》,供大家参考借鉴,希望可以帮助到有需要的朋友。

第一篇:数字频率计课设报告

东华大学数字电路课程设计_数字频率计

数 字 电 路 课 程 设 计

第一章设计指标

……………………………………....……...…….... P2 设计指标

……………………………………………………………....

第二章 系统概述

………………………………………...…..…...…....P3 2.1设计思想

………………………………………………………….. 2.2可行性论证

…………………………………………….…...…... 2.3各功能的组成

……………………………………………………… 2.4总体工作过程

……………………………………………………… 第三章 单元电路设计与分析

………………………………...…...…...P4 3.1各单元电路的选择

……………………………………………… 3.2设计及工作原理分析

……………………………………………… 第四章 电路的组构与调试

…………………………………..…...…... P7 4.1 遇到的主要问题

………………………………………………….. 4.2 现象记录及原因分析

……………………………………………. 4.3 解决措施及效果

………………………………………………… 4.4 功能的测试方法、步骤、设备、记录的数据

…………………… 第五章 结束语

………………………………………………………...P11 5.1对设计题目的结论性意见及进一步改进的意向说明

………….. 5.2 总结设计的收获与体会

…………………………………………. 附图(电路图、电路总图) ………………………………………………P12

第一章设计指标

在生产实践和科学实验中,经常需要测量信号的频率。数字频率计就是用数字方式测量和显示被测信号频率的仪器。实用的数字频率可以测量多种不同的周期波形。

设计要求

要求设计一个测量TTL方波信号频率的数字系统。用按键选择测量信号频率。测量值采用四个LED七段数码管显示,并以发光二极管指示测量对象:测量的单位 HZ khz。频率测量范围有四档量程。

(1) 测量结果显示4位有效数字,测量结果显示四位有效数字。测量精度为万分之一。 (2) 频率测量范围:0.1hz~999.9khz,分四档。

第一档:100.1hz~999.9hz

第二档:1.000khz~9.999khz

第三档:10.00khz~99。99khz

第四档:100.0khz~999.9khz (3) 量程切换可以采用两个按键手动切换或由电路控制自动切换。

设计思想

数字频率计的基本原理是测量周期信号在单位时间内的信号周波数。主要电路为计数器需要控制的是计数器的输入脉冲。显然切换被测信号的时基信号的路径就可以实现数字频率记测量功能的转换。

由于测量结果以十进制显示,为了显示译码方便,一般采用十进制计数器级联构成信号测试电路。本设计要求频率测量结果以四位有效数字显示,所以可以采用四个十进制计数器级联构成莫为10000的极术器对被测信号计数,最大值为9999.四个计数器的4组BCD码译码后显示的结果。

第二章 系统概述

2.1设计思想

总体思想可以分为五块:

1. 量程选择(包含小数点以及单位控制) 2. 单稳态触发器 3. 计数器和锁存器 4. 译码显示 5. 分频计

2.2可行性论证

该设计通过单稳态触发器输出的清零信号和锁存信号控制译码的显示

2.3各功能的组成

量程通过操作台上的两个按键组合成2x2种组合,同时控制多个74153M芯片达到同时控制时基信号,档位,小数点,单位指示灯的选择分别反馈到分频器,单稳态触发器等各个模块的控制段,达到时基信号,档位,小数点,单位指示灯一一对应的效果然后通过计数器,锁存器,以及译码显示,最终在操作台的四位七段显示器上显示结果

第三章 单元电路设计与分析

各单元电路的选择以及原理简要分析

1. 量程选择(包含小数点以及单位控制)

电路如上图,A,B两个输入端子同时对档位,小数点,以及单位控制端。

2.单稳态触发器

单稳态电路如上,输出锁存端Y,然后Y通过一个D触发器产生一个延时一个周期的清零信号,因为计数器和锁存器的级联,必先锁存有效,再对计数器进行清零,所以清零信号要延时于锁存信号。

3. 计数器和锁存器

计数器如下图,用四个74160十进制计数器进位输出端RCO通过一个非门进行级联,构成一个10000进制计数器,其中第一个74160的仿真图也在下面

锁存器:锁存器采用两个74374进行对四个74160输出的16个二进制数字进行锁存

其中引出清零段和锁存端,

4. 译码显示

采用四位动态扫描:当选着段AB选择不同的值时,分别从四片74153M中选择出同一下标的数据

6. 分频器,分频器分为两个模块,一个是DIV8,即把10MHZ的信号依次分频10,最后能够达到0.1HZ的频率。

另一个是通过芯片达到任意进制的分频器(基础要求当中的8分频和四分频)

第四章 电路的组构与调试

4.1 遇到的主要问题

我在这个设计电路当中,设计,调试比较顺利,唯一让我陷入困境的问题是,当输入某一频率时,显示器不能直接显示最终结果。

4.2 现象记录及原因分析

问题现象1:显示器乱码

问题现象1:显示器一直显示0 问题现象2:例如输入为500赫兹频率的信号时,显示器从0000由一递增开始快速跳到500然后瞬间清零,达不到锁存目的。 分析:锁存器输出段和显示器的连接端口不对,并且电路当中的锁存器的锁存信号没有在应该有效的时候令锁存器达到锁存目的,故我着重检查计数—锁存电路

4.3 解决措施及效果

对于问题一,在仔细对照大课题前的四位动态扫描小实验当中的引脚接入,发现,一个74160所输出的4为二进制码并不是全部接入显示电路当中的同一片74153M芯片,导致乱码,而是应该分别接入四片74153M芯片。在重新接入对应的引脚后,显示器不再显示乱码,却出现问题现象二

对于问题现象2。在仔细检查电路之后,发现单稳态输出的锁存通过非门接入锁存器锁存段导致显示一直存在于0000,而后去掉了非门,结果照成问题现象3. 针对问题现象3 措施1.修改单稳态电路,一共设计了以下的新的单稳态方案

仿真

:虽然是清零信号延时于锁存信号,但理论上会带来一定的误差,不过应该还是能大致正确显示频率数,结果接入新的单稳态芯片后,发现问题没有解决,依旧是显示器从0000由一递增开始快速跳到500然后瞬间清零,达不到锁存目的。

措施二,发现74373的锁存端是高电平有效,于是在高频率的信号输入下,锁存的时间跟清零时间非常接近导致锁存失败。提出新的才想:如果换成74374锁存信号上升沿有效的锁存器。会不会解决问题,于是把原先锁存电路当中的74373换成74373.结果正常实现。问题解决,在全部连接入其他部分的电路后,达到课程设计的基础要求

拓展要求方面

对于拓展要求二当中的实现多种频率信号,开始觉得采用不同分频的分频器就能达到效果,于是利用741690的置位端以及4个按钮达到多分频的目的。结果调试发现,某些频率的第一位有效数字重复,达不到1-9的目的。

于是采用74161 十六进制计数器进行分频,发现能实现1-9当中大部分的情况,唯独“4”不能出现,分析发现,74161进制达不到25分频。于是提出了以下两个解决方案

方案一:采用两片74161进行级联,构成16X16 进制分屏器,然后最高位都置为1,低五位通过5个按键进行组合。能够组合出25进制分频器,达到出现“4”的 效果。但仍旧发现依旧显示不出“4”的效果,失败。

方案二:在原先一片74161的情况下,输出信号通过一个D触发器,在次达到二分频的效果,但在纸上演示的时候,发现,“4”能够输出,但采用这个方案之后,“9”却不能输出了。方案二失败。

4.4 功能的测试方法、步骤、设备、记录的数据

1.四位动态显示器的测试方法:遮住显示屏,采用自己设计的组合的真值表进行组合,达到自己想要的输出字符。

2.对于计数器-锁存器-显示器部分,先输入个低频测试信号,例如1hz,观察显示器是否从0一直跳到9,并在低位由9变0的时候,高位进1成功。 3.接入单稳态触发器,让清零段和锁存端接入单稳态的输出信号,并输入500赫兹看能否正确稳定显示0500 4.接入量程控制,切换AB键组合看能否显示0.500 00.50 000.5,并对应的单位指示灯是否正确亮灭。

5.最后电路。通过一个外接的函数信号发生器,调节在四档量程内的频率,查看显示器的显示数值跟信号发生器的显示是否一致 附上基础要求以及拓展要求2的测试表格:

第五章 结束语

5.1对设计题目的结论性意见及进一步改进的意向说明

这次的设计题目其实很有综合性,能够用上我们在数电课上所学的大部分知识,但还有一些知识点也应该涉及到,例如:TTL门的应用,时序逻辑电路的运用,以及ROM的编程引用,这样能加强我们所学知识的联系,运用,实践的能力,并应该整体电路以及设计方案都让学生自己设计,这样我相信能够涌现出更多有新奇创意的设计方案,百花齐放。进一步改进:其实对于拓展要求1,我们可以构思一个时序逻辑电路,通过画状态转换图,状态转换表等一系列基础分析方法,构建出合理电路。对于拓展要求二,其实我们可以运用ROM的编程达到设计要求,这有待我们进一步的思考,学习和实践。

付上各个部分的电路图(详细电路图在第三章)集成该部分的芯片图: 1. div8

2.7seg(七段显示)

3.单稳态触发器

4.计数器(JSQ)

5.七段显示芯片

6.小数点,量程,单位指示灯控制芯片

7. 分频器芯片

8. 基础要求总电路

9. 扩展要求芯片(具体电路图在第四章)

10. 拓展要求电路总图

同基础电路图,只不过把基础总图当中的分频器(FENPINQI)芯片换成拓展芯片(kuozhan)

第二篇:课程设计----数字频率计

电子课程设计报告

设计课题: 数字频率计 作 者:

李成赞≦

专 业: 08信息工程 班 级: (2)班

学 号: 3081231201 日 期 2009年6月5日——2009年6月17日

指导教师: 廖 东 进

设计小组其他成员:叶昕瑜 史海镔 陈福青 姚闽 梁芳芳

衢州职业技术学院信息与电力工程系

前 言

一、频率计的基本原理:

频率计又称为频率计数器,是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。

频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。

二、频率计的应用范围:

在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。

在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。

在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。 在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

目 录

1、设计要求

数字频率计

2、第一章 系统概述

3、第二章单元电路设计与分析 3.1 数字频率计的基本原理 3.2 数字频率的设计 3.2.1 放大整形电路

3.2.2 时基电路

3.2.3 逻辑控制电路 3.2.4 输出实现器

4、第三章 总结设计

附录A 系统电路原理图

附录B 元件清单

附录C 参考文献

设 计 要 求

电子课程设计报告格式:

每人必须写出一份4000字以上设计总结报告,总结报告应包括以下内容:

题目名称、前言、目录、鸣谢、元器件明细表、附图、参数文献。

其中,前言应包含设计题目的主要内容、资料收集工作的简介。正文参考格式如下:

第一章 系统概述

简单介绍系统设计思路与总体解决的可行论证,各功能块的划分与组成,全面介绍总体工作或工作原理。

第二章 单元电路设计与分析

详细介绍个单元电路的选择、设计及工作原理分析,并介绍有关参数的计算及元件参数的选择等。

第三章 总结

简单介绍对设计题目的结论性意见,进一步完善或改进的意向性说明,总结设计课程的收获与体会。

元器件明细表主要用于列出本次课程设计中所用到的全部元器件。

附图要求用2#以上图纸画出总原理接线图,参考文献格式如下:

序号 作者名 书刊名 出版社 出版时间(刊号)

数字频率计(设计要求):

数字频率计是用来测量正弦信号、矩形信号等波形上工作频率的仪器,其测量结果直接用十进制数字显示。本题要求采用中、小规模集成芯片设计集成有下列功能的数字频率测量计:

被测信号的频率范围为1Hz—100KHz,分成两个频段,即1—999Hz、1—100KHz,用三位数码管显示测量数据,测量误差小于5%。

数字频率计

第一章 系统概述

为了使计数器被测信号的频率范围为1—999Hz、,用三位数码管显示测量数据。

第二章 单元电路设计与分析

一、数字频率计测频率的基本原理

所谓频率,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T秒内测得 这个周期性信号的重复变化次数为N,则其频率可表示为:

f=N/T

图1——数字频率计的组成框图和波形图

图1是数字频率计的组成框图。被测信号v x 经放大整形电路变成计数器所要求的脉冲信号I,其频率与被测信号的频率f x 相同。时基电路提供标准时间基准信号II,其高电平持续时间t1=1 秒,当l秒信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到l秒信号结束时闸门关闭,停止计数。若在闸门时间1s内计数器计得的脉冲个数为N, 则被测信号频率f 逻辑控制电路的作用有两个:一是产生锁存脉冲IV,使显示器上的数x =NHz。字稳定;二是产生清“0”脉冲V,使计数器每次测量从零开始计数。

各信号之间的时序关系如图1所示。

二、数字频率计的电路设计

基本电路设计

数字频率计的基本框图如图1所示,各部分作用如下。

①放大整形电路

图2——放大整形电路图

放大整形电路由晶体管3DG100与74LS00等组成,其中3DGl00组成放大器将输入频率。 为f 三角波等进行放大,与非门74LS00构成施密特触发器,它对放 x 的周期信号如正弦波、大器的输出信号进行整形,使之成为矩形脉冲。

②时基电路

图3——时基电路

时基电路的作用是产生一个标准时间信号(高电平持续时间为 1s),由定时器555构成的多谐振荡器产生。若振荡器的频率 f0 1/(t1 t 2 ) 0.8Hz,则振荡器的输出波形如图1中的波形II所示,其中t1=1s,t2=0.25s。由公式t1=0.7(R1+R2)C和t2=0.7R2C,可计算出电阻R

1、R2及电容C的值如图。

③逻辑控制电路

图4——逻辑控制电路

根据图1所示波形,在计数信号II结束时产生锁存信号IV,锁存信号IV 结束时产生 清“0”信号 V。脉冲信号IV和V可由两个单稳态触发器74LS123产生,它们的脉冲宽度由电路的时间常数决定。

设所存信号IV和清“0”信号V的脉冲宽度tw相同,如果要求tw=0.02s,则得:

tw=0.45RextCext=0.02s

若取 Rext=10kΩ,则 Cext=tw/0.45Rext=4.4μ

F。

由74LS123的功能(见下表1)可得,当 1R 1B 1 触发脉冲从1A端输入时,在触D

发脉冲的负跳变作用下,输出端1Q可获得一负脉冲,其波形关系正好满足图1所示的波形IV和V的要求。手动复位开关S按下时,计数器清“0”。

表1——74LS123功芯片能表

④输出实现器

图5——频率计算器

表2——74LS90的不同接线方法

锁存器的作用是将计数器在1s结束时所计得的数进行锁存,使显示器上能稳定地显示 此时计数器的值。如图所示,1s计数时间结束时,逻辑控制电路发出锁存信号IV,将此时计数器的值送译码显示器,选用两个8位锁存器74L273可以完成上述功能。当时锁存信号CP的正跳变来到时,锁存器的输出等于输入,从而将计数器的输出值送到锁存器的输出端。

高电平结束后, 无论D为何值,输出端的状态仍保持原来的状态不变,所以在计数期间内,计数器的输出不会送到译码显示器。

表3——74LS273功能表

表4——74LS4

第三章 结束语

①设计总结

简易的数字频率计基本完成,各Vcc接电源正极,各开关控制电路的各个部分。整个电路综合使用了与门、非门、555定时器、显示器、74LS48译码器、74LS273锁存器、74LS90计数器等等的逻辑器件和施密特、可重触发器等模拟电子器件。

②设计心得、体会

本次课程设计由李成赞同学总设计,在廖东进老师辅导下顺利完成啦。通过本次的课程设计,加深了我对数字电子技术模拟电子两门课程的理解,强化了我对相关知识的记忆,提高了我对所学知识的应用。这极大扩展了我的视野,更加激发了我对这门课程的热爱,在设计的过程中,由于综合应用了各种学习、应用软件,例如:word、auto CAD、Multisim等,不但体改了技能,还能从中获得了成就感。通过这次设计,我完全知道了团队合作的所带来的快乐,集体的力量的强大性!我定位了我自己,发现自己的优势和不足,并且勉励自己不断进步,并对未来充满信心。

再此感谢给与指导的廖东进老师、李培江老师、黄云龙老师的指导。对本课程设计的的大力支持。

附录A

附录B:元件清单

附录C:参考文献

第三篇:数电课设-数字式闹钟

课 程 设 计 任 务 书

数字式闹钟

第一部分 设计任务

1.1 设计任务

(1) 时钟功能:具有24小时或12小时的计时方式,显示时、分、秒。 (2) 具有快速校准时、分、秒的功能。

(3) 能设定起闹时刻,响闹时间为1分钟,超过1分钟自动停;具有人工止闹功能;止闹后不再重新操作,将不再发生起闹。

1.2设计指标

(1).有“时”、“分”十进制显示,“秒”使用分个位数码管上的DP点显示。

时十位显示时个位显示分十位显示秒闪烁显示分个位显示

(2). 计时以24小时为周期。(23:59→00:00) (3).具有较时电路,可进行分、时较对。

(4).走时过程能按预设的定时时间(精确到小时)启动闹钟产生闹铃,闹铃响时约3s。

第二部分 设计方案

2.1总体设计方案说明

系统组成:

显示电路:译码器 数码管

秒信号发生器:由LM555构成多谐振荡器 走时电路:计数器和与非门组成 校时电路:秒信号调节

闹钟电路:跳线的方法 由计数器、译码器、组合逻辑电路、单稳态电路组成 2.2模块结构与方框图

1.秒钟与分钟显示电路

用两片74290组成60进制计数器,输入计数脉冲CP加在CLKA’端,把QA与CPLB’从外部连接起来,电路将对CP按照8421BCD码进行异步加法计数,个位接成十进制形式,十位接成六进制形式,当R0(1)=RO(2)=1且R9(1)*R9(2)=0时74290的输出被直接置0,当R0(1)*RO(2)=0和R9(1)*R9(2)=0时开始计数。电路图如下:

连接成总电路时,分钟的输入信号由秒钟计数器提供。 2.时钟显示电路:

同样用2片74290组成24进制,当十位的为2,个位的为4时通过反馈电端,控制个位和十位同时清零,这样就可以按23翻0规律记数了。电路图如下:

连接成总电路时,时钟输入信号由分钟计数器提供。 3.调时分秒

可接几个开关来控制个位,十位的信号输入,如开关

1、

2、space。如图示:

4.闹钟

分设置与上面相差一个输入信号,如下图:

时设置的个位为十进制,十位为三进制,当十位为2时,通过反馈控制端,个位不能大于等于4,即小时十位为2时,个位加到4时十位和个位马上全部置0,从而让小时的设置只能最大设为23。当十位不是2时,个位则加到9时再加一位则置0,如图示:

闹钟部分时,将小时显示计数器、分钟显示计数器的8个输出端,闹钟时设置、闹钟分设置的8个输出端引出,用4个4077门进行比较,然后将4个4077门的8个输出端用2个7421进行与运算,将2个7421的输入输出端用3个与门进行与运算后输出到闹钟发声器。就完成了闹钟功能。当与时间显示计数器相连的显示器与与时间设置计数器相连的显示器显示的数字相同时,即相达闹钟条件,这时4个4077门的所有输入端都为1,经过二次与运算后输入到发声器的信号也为1,即闹钟开始,否则输入到发声器的信号为0,闹钟不响。闹钟会一直响,直到两个地方的时间不一样为止,也就是响一分钟。具体电路看总电路。

四、总电路工作原理及元器件清单

1.总原理图

时显示分显示时译码分译码秒显示时计时分计时秒计时秒信号较准起闹单稳态电路闹铃

第三部分 电路设计与器件选择

3.1秒信号发生器

3.1.1模块电路及参数计算

(1)LM555构成振荡器相关参数计算。

3.1.2工作原理和功能说明

所以:

(1)采用LM555构成多谐振荡器,调整电阻可改变频率,使之产生1Hz的脉冲信号(即T=1S) (2)555内部结构图及各部分功能。

VCC8THCO65R+A–1RTRD271GNDR+A2–TSQRD4RQTPH0.7(R1R2)CTPL0.7R23UOf11HTTTPHTPL0 .7(R12R2) a、分压器为比较器提供基准电压,A1的基准电压为 2/3V,A2的基准电压为1/3V。

b、阈值端(TH)和触发端(TR)的外加输入信号和两个基准电压比较,当TH> 2/3V 时,A1输出高电平;当TR< 1/3V时,A2输出高电平。反之,两比较器输出低电平。

c、A

1、A2的输出作为RS触发器的输入。R=1时,Q=0;S=1时,Q=1 d、RS触发器的反相输出端经反相驱动后输出U,即U=Q e、当Q=0时,T导通;Q=1时,T截止。

3.1.3器件说明

(1)LM555管脚图和功能表

RD01TH0>2V

12VCC/3/3保持3.2走时电路设计(时、分、秒)

3.2.1模块电路及参数计算

走时电路包括秒计时器、分计时器、时计时器,每一部分由两片计数器级联构成。模块电路如下。

3.2.2工作原理和功能说明 (1)秒计时器

秒计数器由十进制与六进制级联而成,两片74LS163 和一片74LS20与非门实现。模60分成个位和十位,个位模10,十位模6。个位从0000计数到1001,利用清零端将个位从0000重新开始计数,同时将1001信号作为一个CP脉冲信号传给十位,让十位开始从0000开始计数。以此规律开始计数,直到十位计数到5,个位计数到9时,通过十位的清零端将十位清零,重新开始计数,并将此信号作为一个CP脉冲信号传给分计数器。 (2)分计时器

同秒计时器。 (3)时计时器

时计数器是模24计数器,电路计数显示00~23,由两片74LS160和一片74LS00与非门实现。个位由74LS160制成模10计数器,十位由另一片74LS160制成模为3的计数器.将个位芯片的 Q1信号与十位芯片的Q2信号提取出通过与非门,将信号分别给十位和个位的清零端,计数到23时两片芯片同时清零。个位的进位端与高电位与非后把信号作为CP脉冲信号传给十位CP端。

(4)译码显示电路

用译码器74LS48对计数结果进行译码,译码后在共阴极数码管上显示。 3.2.3器件说明

(1)74LS163结构图和功能表 (2)74LS160结构图和功能表

3.3时间校对电路

3.3.1工作原理和功能说明

将所需要校对的时或分计数电路的脉冲输入端切换到秒信号,使用快脉冲计数,到达标准时间后再切换回正确的输入信号。

3.4闹钟电路设计

3.4.1模块电路及参数计算 (1)闹钟设计模块电路如下图

(2)74LS123控制报时时间长短相关参数计算。

取RT=51K,CT=220uF

TW= 0.28 RT*CT*(1+0.7K/RT)

TW ≈ 3.18 S

3.4.2工作原理和功能说明

(1) 使用1片74LS138,1片74LS42分别将小时的十位和个位的进行译码,小时十位为0~2,3-8译码器只使用前2个输入端,小时个位为0~9,4-10译码器只使用前3个输入端。 (2)设定起闹点,将十位和个位相关输出分别与高电位经过与非(如设定起闹点为11点,将74LS138的输出低二位与高电位与非,将74LS42的输出地低二位与高电位与非)的结果再与非,最后将信号传给74LS123. (3)用74LS123构成单稳态触发器,控制起闹时间的长短。74LS123 内部包括两个独立的单稳态电路。单稳输出脉冲的宽度,主要由外接的定时电阻( RT )和定时电容( CT )决定。单稳的翻转时刻决定于 A、B、CLR 三个输入信号。 3.4.3器件说明

(1)74LS138管脚图和功能表

(2)74LS42管脚图和功能表

(3)74LS123的管脚图和功能表

4.1整机电路图 4.2元件清单

电阻:1KΩ(DP)

1只

1.5KΩ

1只

2.4KΩ

1只

51KΩ

1只

300Ω

4只

电容:220uf

2只

0.01uf

1只 芯片:74LS163

4片

74LS160

2片

74LS48

4片

4LS123

1片

74LS138

2片

74LS42

1片

74LS00

3片

LM555

1片

74LS20

1片

蜂鸣器:

1只

共阴极数码管: 4只 导线:7种颜色各一米。

第五部分

安装调试与性能测量

5.1安装电路

电路安装要求

(1)芯片布局要合理,凹槽朝统一方向,以免电源与地线接反

(2)导线颜色使用要规范,5V电源线使用红色导线连接;地线使用黑色导线;其它信号线使用除红黑颜色以外的导线。

(3)导线要横平竖直紧贴面包板,不要从集成块上跳线,要连接可靠 (4)线路连接时要按信号的流向逐级连接,交叉线尽可能少。 5.2电路调试

5.2.1调试步骤及结果 采用逐级调试的方法

(1)确保秒信号正常 (2)调试秒计数器

(3)调试分计数器,可将秒信号作为分计数器的CP脉冲

(4)调试小时计数器,可将秒信号作为小时计数器的CP脉冲 (5)调试闹钟电路

5.2.2故障分析及处理

(1)将秒信号接入示波器,与标准信号对比,出现误差,但在允许范围之内。

(2)秒信号接入脉冲后发现不向分进位,经过再一次排查检查电路发现秒时钟的各位未向十位输入脉冲。

(3)插上电源后发现分信号的信号紊乱,从乱码起跳,而且十位不清零。于是我们对分的模六十进行单脉冲检测,在检查分信号个位(模十计数器)时发现,从乱码起跳,后就为0~9正常,起初以为是新片坏了,换了芯片后还是从乱码起跳,后进行接线检查等,还是查不出原因,最后我们从新审查电路的设计和连接,发现输出进行与非的信号高低位接反,改正后个位跳砖正常。而后对分信号的十位进行检查。 发现输入脉冲虚接。

(4)刚接通电源时,小时计数器十位显示7,后给予清零信号后显示恢复正常。将秒脉冲接到小时计数器的个位CP端,在进位时发现23:00时不能同时清零。对模二十四的设计进行分析发现接线错误,后经过改正清零正常。

(5)在检测电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失。用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,排查导线把接触不好的线重新接过后发现能正常显示了。

仿真调试与分析

首先按space,则电路开始计数,数码管显示时间。

当要调时、分、秒,则按0进入校准时间,然后由数字

1、

2、3分别调整时间秒、分、时。再按0进入计时,总之,0键就是在校准与计时之间切换的功能键。

开始时计时:

按0进入校准:

再按0进入计时:

按space切换至显示闹钟起闹时间,

起闹时间秒不计,所以恒为FF。按

4、5调整闹钟起闹时间的时、分

此时起闹的时间为1点03分。

按space切换至计时状态,此时时间如果是1点03分的话,闹铃响一分钟后熄灭,此时可以按下开关T打开或关闭闹铃

当时间来到分钟为59,秒钟为51的时候,在

52、

54、

56、 58 闹铃个响一次,此时接入的是500HZ的脉冲。在00时再响一次,此时接入的是1000HZ的脉冲。 可以按下开关W打开或关闭整点报时功能。

第六部分 课程设计总结

做集成数字式闹钟这个实验,跟我同组的包括我在内共有三个同学,对于设计任务,我们进行了一些详细的分工合作。首先是收集资料,我们上网页搜索,去了好几次图书馆,在闲暇的时候我们也在讨论这个问题该怎样解决„„就这样我们描绘出了大致的设计思路,进而画出了我们需要的设计原理图。通过本次的课程设计,觉得自己也锻炼到了不少的经验:

首先,资料查找是一个至关重要的问题。在这次设计过程中,觉得最开始的突破口就是从资料入手,不然真会觉得束手无策的。通过查找相关书籍,不但可以从中提取一些重要的资料,还让我们学到了如何将学过的知识,更好的有机组合起来运用到实践之中,体会到了学习的乐趣。

其次,细节决定成败。在我们有了有了大概的原理图以后,我们的设计思路也就基本确定了,但是在实际操作的过程中,用仿真软件仿真的时候,总会出现许多不可预知的问题,经过仔细、反复的查看电路的连接之后,才发现其中一些接线是由于粗心,出现了接线端的错误,虽然只是一些小小的失误,但是却影响了整个电路。这正是“细节决定成败”,也让我们懂得了做事情更应该做好充分的准备,明确设计思路,只有这样才能游刃有余。

再次,要注重理论联系实际。在设计电路之初,我们觉得思路上都有点闭塞,不知道该如何下手,但是数字闹钟可能会用到的一些基本电路,如:计时器、分频器、振荡电路等都是我们学过的,只是如何将他们很好的串联起来,实现一个自己所需要的功能,还没有实践过,一时无所适从,在我们经过仔细地反复推敲之后猜发现了突破口。

综上所述,经过本次课程设计,我们收获了很多。发现了不少平时没有注意到的细节问题,我们在解决这些问题的过程中学到了不少我们在课本中没有学到的东西,积累了平时所不曾注意的处理问题的经验,这对自己今后的学习都是有很大的帮助的。同时,在这次实验当中,我们也体验到了,合作的重要性,一个没有联系的团体就像是一盘散沙,每个人都要设身处地的为整个实验着想,不能只顾做自己的,到头来整个电路都连接不上,等于没有做,正所谓“选择比努力更重要”! 所以说,我们从这个课程设计中获益匪浅,我们都有着深刻的体验。我想如果有时间的话我会继续钻研数字电子技术这么有着深刻内涵和底蕴的课程,同时,我也十分希望我们学校能够创造更多的机会,来锻炼我们的亲自动手的能力,多做一下类似的实验,让我们真正领悟数字电子技术这门课程的魅力和精髓所在!

第四篇:基于FPGA的简易数字频率计

EDA 简易数字频计 设计性实验 2008112020327 ** 电子信息科学与技术

物电

电工电子中心2009年5月绘制

2008.6.10 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

简易数字频率计设计

一.任务解析

通过对选择题的分析,认为该简易数字频率计应该能达到以下要求: 1. 准确测出所给的方波信号的频率(1HZ以上的信号)。 2. 在显示环节上,应能实现高位清零功能。 3. 另外还有一个总的清零按键。

二.方案论证

本实验中所做的频率计的原理图如上图所示。即在一个1HZ时钟信号的控制下,在每个时钟的上升沿将计数器的数据送到缓冲器中保存起来,再送数码管中显示出来。

第2页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

在本实验中,用到过几中不同的方案,主要是在1HZ时钟信号的选择和计数器清零环节上:

1. 在实验设计过程中,考滤到两种1HZ时钟信号其波形如下图所

对于上术的两种波形,可以调整各项参数来产生两种1HZ时钟信号。最后通过实验的验证发现第二种波形对于控制缓冲器获得数据和控制计数器清零更易实现。并且,用第二种波形做为时钟信号,可以在很短的高电平时间内对计数器清零,在低电平时间内让计数器计数,从面提高测量的精度。而用第一种波形则不易实现这个过程。

2.在计数器的清零过程中,也有两个方案,分别是能通过缓冲器反回一个清零信号,另一个是在时钟的控制下进行清零。最终通过实验发现,用时钟进行清零更易实现。因为如果用缓冲器反回一个清零信号,有一个清零信号归位问题,即当缓冲器反回一个低电平清零信号时,计数器实现清零,但不好控制让缓器冲的清零信号又回到高电平,否则计数器就一直处于清零状态面不能正常计数了。

三.实验步骤

通过上分析后,实验分为以下几步:

1.1HZ时钟信号的产生(产生该信号的模块如下):

module ones(clk,clkout); input clk; output clkout; parameter parameter N=24000000; n=24;

第3页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

reg [n:0]cnt; reg clkout;

always @(posedge clk)begin if(cnt==N) else end endmodule begin cnt=0;

clkout=1; clkout=0;end

end begin cnt=cnt+1; 最终产生的信号的波形:

2. 计数模块。其主要公能是在每个被测信号的上升沿自动加一,并且有一个清零信号的输入端,在1HZ时钟信号的高电平时间里进行清零。 模块如下:

module count_99999999(sign,clr,b0,b1,b2,b3,b4,b5,b6,b7); input sign,clr; output [3:0]b0,b1,b2,b3,b4,b5,b6,b7; reg [3:0]b0,b1,b2,b3,b4,b5,b6,b7; always @(posedge sign or posedge clr)

if(clr) begin b0=0;b1=0;b2=0;b3=0;b4=0;b5=0;b6=0;b7=0; end else begin if(b0<9) b0=b0+1; else begin b0=0; if(b1<9)

第4页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

b1=b1+1; else begin b1=0; if(b2<9) b2=b2+1; else begin

b2=0;

if(b3<9)

b3=b3+1;

else begin

b3=0;

if(b4<9)

b4=b4+1;

else begin

b4=0;

if(b5<9)

b5=b5+1;

else begin

b5=0;

if(b6<9)

b6=b6+1;

else begin

b6=0;

if(b7<9)

b7=b7+1;

else b7=0;

第5页,共11页

湖北师范学院电工电子实验教学省级示范中心电子版实验报告

end

end

end

end

end

end end

end endmodule 如果要访真该模块,要设定较长的访真时间,故在此不进行软件访真,只在实验箱上进行实验。

3. 数据缓冲模块。在每个秒级门控信号的上升沿接收计数器的数据,并送到驱动八个数码管的显示模块里。

module fre_count(clk,clr,b0,b1,b2,b3,b4,b5,b6,b7,a0,a1,a2,a3,a4,a5,a6,a7); input clk,clr; input [3:0]b0,b1,b2,b3,b4,b5,b6,b7; output [3:0]a0,a1,a2,a3,a4,a5,a6,a7; reg clro; reg [3:0]a0,a1,a2,a3,a4,a5,a6,a7; always @(posedge clk or negedge clr) //clk应该为1HZ的信号// begin if(!clr)

begin

a0<=0;a1<=0;a2<=0;a3<=0;a4<=0;a5<=0;a6<=0;a7<=0; end else

第6页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

end begin a0<=b0;a1<=b1;a2<=b2;a3<=b3;a4<=b4;a5<=b5;a6<=b6;a7<=b7; end endmodule 在此模块中用了到了<=阻塞赋值的方式。

4.编写控制高位清零的模块,利用在扫描模块中控制SEL的值来实现高位清零。即先从高位开始判断,当只有个位有数据时,SEL只能等于0,当只有个位和十位有数据时,SEL要小于1,依此类推,实现高位清零功能。 module scan(clk,a1,a2,a3,a4,a5,a6,a7,sel); input clk; input [3:0]a1,a2,a3,a4,a5,a6,a7; output [2:0]sel; reg [2:0]sel; always @(posedge clk) if({a1,a2,a3,a4,a5,a6,a7}==0000000) sel=0; else if({a2,a3,a4,a5,a6,a7}==000000) if(sel<1) sel=sel+1; else sel=0; else if({a3,a4,a5,a6,a7}==00000) if(sel<2) sel=sel+1; else sel=0; else if({a4,a5,a6,a7}==0000) if(sel<3) sel=sel+1;

第7页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

else sel=0; else if({a5,a6,a7}==000) if(sel<4) sel=sel+1; else sel=0; else if({a6,a7}==00) if(sel<5) sel=sel+1; else sel=0; else if({a7}==0) if(sel<6) sel=sel+1; else sel=0; else sel=sel+1; endmodule 5.上述三个模块为本次实验的主要模块。除此,还用到了,译码,数据选择器模块。相应的模块代码省略。

6.所有模块都写完后,就是写顶层文件。

module top(clk,clr,sig_in,a,b,c,d,e,f,g,dp,sel); input clk,clr,sig_in; output [2:0]sel; output a,b,c,d,e,f,g,dp;

wire clk1,clk2,clk3; wire [2:0]sel_sign; wire [3:0] QA,QB,QC,QD,QE,QF,QG,QH; wire [3:0] b0_o,b1_o,b2_o,b3_o,b4_o,b5_o,b6_o,b7_o; wire [3:0] org_data;

第8页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

assign sel=sel_sign; assign clk3=clk;

fre_count frequence(.clk(clk2),.clr(clr),.b0(b0_o),.b1(b1_o),.b2(b2_o),

.b3(b3_o),.b4(b4_o),.b5(b5_o),.b6(b6_o),.b7(b7_o),.a0(QA),.a1(QB),

count_99999999 mycounter(.sign(sig_in),.clr(clk2),.b0(b0_o),.b1(b1_o), .a2(QC),.a3(QD),.a4(QE),.a5(QF),.a6(QG),.a7(QH)); .b2(b2_o),.b3(b3_o),.b4(b4_o),.b5(b5_o),.b6(b6_o),.b7(b7_o));

clk_div clksource(.clk(clk3),.clko1(clk1));

ones ones_con(.clk(clk3),.clkout(clk2)); scan myscan(.clk(clk1),.a1(QB),.a2(QC),.a3(QD),.a4(QE),.a5(QF),.a6(QG),.a7(QF),.sel(sel_sign)); mux81 mymux81(.sel(sel_sign),.a(QA),.b(QB),.c(QC),.d(QD),.e(QE),.f(QF),.g(QG),.h(QH),.out(org_data));

第9页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

decode3_8 decode(.org(org_data),.a(a),.b(b),.c(c),.d(d),.e(e),.f(f),.g(g),.dp(dp));

endmodule

7. 写完了全部代码后,就是器件选择,分配引脚,下载程序,最后在实验箱上进行实验。

在实验的验证过程中,用到了DDS函数信号发生器,从其TTL输出端输出各种不同频率的方波信号,接入接简易频率计的被测信号输入端,在八个数码管上显示出相应的测量结果。

四.结果分析

在上述实验过程中,我们选取了若干组不同的TTL输出进行测量,发现当被测信号的频率不小于1HZ时,简易频率计可以精确的测出被测信号的频率。但是,当被测信号小于1HZ时,显示的测量结果在0和1之间跳动,不稳定。分析简易频率计的结构可知,在如下图所示波形关系中,当被测信号的频率小于1HZ时,在秒级门控信号的一个周期内,计数器要么能计数一次,要么计数零次,因而不能准确的测出被测信号的频率。

五.经验总结

1.本实验中的频率计由于其在结构上存在缺限,因而在测量小于1HZ的信号时,会有较大的误码差,在测量频率大于1HZ信号时,也可能存1HZ误差,其原因在于如果在一个秒级门控信号的周期内,被测信号的上升沿的个数正好

第10页,共11页 湖北师范学院电工电子实验教学省级示范中心电子版实验报告

和其周数相同,则测量结果是准确的,如果上升沿的个数比周期数多一,则测量结果比实际值大一。

2.提高测量精度的另一个关键地方在所产生的秒级门控信号的精度。只有所产生的秒级门控信号的低电平时间长度为严格的一秒时,测量结果才会更精确。由于实验箱上所使用的晶振不是严格等于24MHZ,因而可用示波器测出晶振的准确频率后,再在parameter

N=24000000;语句中改变N的值。也可以在实验中逐渐调整N的值,直到测量结果最精确为止。最终我们的实验中,N的值取的是24000351。

3.对于高位清零功能的加入,正是仪器设计人性化的一个体现。如果没有这个功能,再未显示数据的数码管也一直处于工作状态,一则是对资源的乱费,二则是会减少器件的使用寿命。

第11页,共11页

第五篇:数字频率计的课程设计课案

引 言

近年来,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要.在电子系统非常广泛应用领域内,到处可见到处理离散信息的数字电路。供消费用的微波炉和电视、先进的工业控制系统、空间通讯系统、交通控制雷达系统、医院急救系统等在设计过程中无一不用到数字技术。数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。数字集成电路具有结构简单(如其中的晶体管是工作于饱和与截止2种状态,一般不设偏置电流)和同类型电路单元多(如一个计数系统需要很多同类型的触发器和门电路)的特点,因而容易是高集成度和归一化。由于数字集成电路与电子计算机的发展紧密相关,因而发展很快,目前已是集成电路中产量最高、集成度最大的一种器件。集成电路的类型很多,从大的方面可分为模拟和数字集成电路两大类。虽然它们都可模拟具体的物理过程,但其工作方式有着很大的不同。甚至可能完全不同。电路中的工作信号通常是用电脉冲表示的数字信号。这种工作方式的信号,可以表达2种截然不同的现象。如以有脉冲表示“1”,无脉冲便表示“0”;以“1”表示“真”,则“0”便表示“假”,等等。反之亦然。这就是“数字信号”的含义。所以,“数字量”不是连续变化的量,其大小往往并不改变,但在时间分布上却有着严格的要求,这是数字电路的一个特点。数字式频率计基于时间或频率的A/D转换原理,并依赖于数字电路技术发展起来的一种新型的数字测量仪器。由于数字电路的飞速发展,所以,数字频率计的发展也很快。通常能对频率和时间两种以上的功能数字化测量仪器,称为数字式频率计(通用计数器或数字式技术器)。在电子测量技术中,频率是一个最基本的参量,对适应晶体振荡器、各种信号发生器、倍频和分频电路的输出信号的频率测量,广播、电视、电讯、微电子技术等现代科学领域。因此,数字频率计是一种应用很广泛的仪器。

1概述

1.1 数字频率计概述 数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器。它是一种用十进制数字显示被测信号频率的数字测量仪器。它的基本功能是测量正弦信号,方波信号及其他各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。 1.2 数字频率计的基本原理

频率计的基本原理是用一个频率稳定度高的频率源作为基准时钟,对比测量其他信号的频率。通常情况下计算每秒内待测信号的脉冲个数,此时我们称闸门时间为1秒。闸门时间也可以大于或小于一秒。闸门时间越长,得到的频率值就越准确,但闸门时间越长则没测一次频率的间隔就越长。闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器 电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

2 数字频率计的原理电路的设计

2.1 基本设计原理与方案

2.1.1 数字频率计的基本设计原理

基本设计原理是直接用十进制数字显示被测信号频率的一种测量装置。它以测量周期的方法对正弦波、方波、三角波的频率进行自动的测量。 所谓“频率”,就是周期性信号在单位时间(1s)内变化的次数。若在一定时间间隔T内测得这个周期性信号的重复变化次数N,则其频率可表示为f=N/T。其中脉冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等于被测频率fx。时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s,则门控电路的输出信号持续时间亦准确地等于1s。闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数,所以被测频率fx=NHz。 2.1.2 数字频率计的整体电路设计方案

数字频率计主要由4个基本单元组成:可控制的计数锁存、译码显示系统、石英晶体振荡器及多级分频系统、带衰减器的放大整形系统和闸门电路。该原理电路我们将设计4个基本单元电路,而后利用四个基本单元电路绘制整机框图,画出总电路图,并且对电路图进行原理分析,利用Multisim、Protel软件进行绘制原理图和仿真模拟实验现象,记录调试分析的结果。

2.2 单元电路的设计和元器件的选择

数字频率计的原理框图如图11-1-1所示。他主要由5个模块组成,分别是:脉冲发生器电路、测频控制信号发生器电路、计数模块电路、锁存器、译码驱动电路。当系统正常工作时,脉冲发生器提供的1 Hz的输入信号,经过测频控制信号发生器进行信号的变换,产生计数信号,被测信号通过信号整形电路产生同频率的矩形波,送入计数模块,计数模块对输入的矩形波进行计数,将计数结果送入锁存器中,保证系统可以稳定显示数据,显示译码驱动电路将二进制表示的计数结果转换成相应的能够在七段数码显示管上可以显示的十进制结果。在数码显示管上可以看到计数结果。

2.2.1 放大整形电路

放大整形电路由晶体管9014和74LS00等组成。其中9014组成放大器将输 频率为fx的周期信号如正弦波 三角波等进行放大。与非门74LS00构成施密特触发器,它对放大器的输出信号进行整形,使之成为矩形脉冲。 由于输入的信号幅度是不确定、可能很大也有可能很小,这样对于输入信号的测量就不方便了,过大可能会把器件烧毁,过小可能器件检测不到,所以在设计中采用了这个信号调理电路对输入的波形进行阻抗变换、放大限幅和整形,信号调理部分电路具体实现电路原理图和参数如图1所示:

图1—放大整形电路图

2.2.2 石英晶体振荡器 (1) 石英晶体振荡器原理

若在晶片的两个极板间加一电场,会使晶体产生机械变形;反之若在极板间施加机械力,又会在相应的方向上产生电场,这种现象称为压电效应。如在极板间所加的是交变电压,就会产生机械变形振动,同时机械变形振动又会产生交变电场。一般来说,这种机械振动的振幅是比较小的,其振动频率则是很稳定的。但当外加交变电压的频率与晶片的固有频率(决定于晶片的尺寸)相等时,机械振动的幅度将急剧增加,这种现象称为压电谐振,因此石英晶体又称为石英晶体谐振器。

(2) 石英晶体振荡器的电路图

石英晶体具有优越的选频性能。将石英晶体引入普通多谐振荡器就能构成具有较高频率稳定性的石英晶体多谐振荡器。我们知道,普通多谐振荡器是一种矩形波发生器,上电后输出频率为

的矩形波。根据傅里叶分析理论,频率为

(

的矩),形波可以分解成无穷多个正弦波分量,正弦波分量的频率为如果石英晶体的串联谐振频率为

,那么只有频率为

的正弦波分量可以通过石英晶体(第个正弦波分量,过石英晶体。频率为

),形成正反馈,而其它正弦波分量无法通

矩形波。因为石

的正弦波分量被反相器转换成频率为英晶体多谐振荡器的振荡频率仅仅取决于石英晶体本身的参数,所以对石英晶体

以外的电路元件要求不高。

用反相器与石英晶体构成的振荡电路如图2所示。利用二个非门U1A、U2A自我回馈,使它们工作在线性状态,然后利用石英晶体JU来控制振荡频率,同时利用电容C1来作为二个非门之间的耦合,二个非门输入和输出之间并接的电阻R1和R2作为负反馈组件用,由于回馈电阻很小,可以近似认为非门的输入和输出的压降相等。电容C2是为了防止寄生振荡。例如:电路中的石英晶振频率是4MHZ,则电路的输出频率为4MHZ。

图2---石英晶体振荡电路

2.2.3 分频器

由于石英晶体振荡器产生的频率很高,要得到秒脉冲,就需要分频电路。例如,振荡器输出4MHZ的信号,通过D触发器(74LS74)(图3)进行4分频变成1MHZ,然后送到10分频计数器(74LS90,该计数器可以用8421码制,也可以用5421码制),经过六次10分频而获得1HZ的方波信号作为秒脉冲信号。

图3---74LS74四分频电路

图4---分频器输出波形

2.2.4 闸门电路与逻辑控制电路 (1) 闸门电路

闸门电路的作用是控制计数器的输入脉冲。是由与门组成,该电路有二个输入端和一个输出端,输入端的一端接门控信号,另一端接整形后的被测方波信号。当标准时间信号(1s正脉冲)来到时,闸门开通,即门控信号为高电平“1”时,此时被测信号的脉冲通过闸门进入计数器计数;而门控信号为低电平“0”时,闸门关闭 ,计数器无时钟脉冲输入。可见,门控信号的宽度一定时,闸门的输出值正比于被测信号的频率,通过计数显示系统把闸门的输出结果显示出来,就可以得到被测信号的频率。 (2)逻辑控制电路

在时基信号结束时产生的负跳变用来产生锁存信号,锁存信号的负跳变又用来产生清零信E,脉冲信号和清零信号可由双单稳态触发器74LS123产生,它们的脉冲宽度由电路的时间常数决定。根据tw=0.7Rext×Cext可以计算出各个参数。这样当脉冲从74221 的1脚输入可以产生锁存信号和清零信号,其要求刚好满足D和E的要求。当手动开关S按下时,计数器清零。

由二块74221芯片组成的逻辑控制电路

2.2.5 脉冲形成电路 (1) 电路原理及电路图

脉冲形成电路的作用是将输入的周期性信号,如正弦波、三角波或者其他呈周期性变化的波形变换成脉冲波,其周期不变。将其他波形变换成脉冲波的电路有很多种,如施密特触发器、单稳态触发器、比较器等,采用集成555构成的单稳态触发器,电路如图5所示。

图5---集成555芯片构成的单稳态触发电路及仿真结果 (2)仿真结果分析及结论

仿真结果分析及其结论:555定时器构成的单稳态触发器是负脉冲触发的单稳态触发器,稳态时输出为低电平,暂稳态时输出为高电平,且其在暂稳态维持时间仅与电路本身的参数R、C有关,与外界触发脉冲的幅值和宽度有关。 2.2.6 时基电路

此电路由555定时器组成一个多谐振荡器,要求产生一个标准信号(高电平持续时间为1s),振荡器的频率f=1/(t1+t2)=0.8Hz,其中t1=1S,t2=0.25S由公式t1=0.7(R1+R2C)和t2=0.7R2C因此,我们可以计算出各个参数通过计算确定了R1取47K欧姆,R2取39K欧姆,电容取10μF。再加入一个100K的可变电阻,来改变电路占空比。这样我们得到了比较稳定的脉冲。如图6所示。

图6---时基电路

2.2.7 锁存器

锁存器的作用是将计数器在1s结束时所计得的数进行锁存,使显示器上能稳地显示此时计数器的值。1s计数时间结束时,逻辑控制电路发出锁存信号IV,将些时计数器的值送译码显示器。

选用两个8位锁存器74LS273可以完成上述功能。当锁存信号CP的正跳变来到时,锁存的输出等于输入,从而将计数器的输出值送到锁存器的输出端。高电平结束后,无论D为何值,输出端的状态仍保持原来的状态不变。所以在计数期间内,计数器的输出不会送到译码显示器.电路连接图如图7所示。

图7---锁存器连接电路图

2.2.8 计数器

计数器是按十进制计数的。需要注意的是,如果在系统中不接锁存器,则显示器上的显示数字就会随计数器的状态不停变化,只有在计数器停止计数时,显示器上显示的数字才能稳定,所以,计数器后面必须接入锁存器。

计数器的作用是对输入脉冲计数。根据设计要求,最高测量频率为 9999Hz ,应采用 4 位十进制计数器。可以选用现成的用74LS90芯片集成的 10 进制计数器(如图8所示)。

图8---74LS90芯片集成的十进制计数器

2.2.9 译码器与显示器 (1) 译码器

本设计采用的是由74LS48芯片集成的译码器(图9)。

图9---74LS48芯片集成的译码器电路图

(2) 显示系统

发光二极管(LED)由特殊的半导体材料砷化镓、磷砷化镓等制成,可以单独使用,也可以组装成分段式或点阵式LED显示器件(半导体显示器)。 分段式显示器(LED数码管)由7条线段围成8字型,每一段包含一个发光二极管。外加正向电压时二极管导通,发出清晰的光,有红、黄、绿等色。只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。 LED数码管有共阳、共阴之分。图10(a)是共阴式LED数码管的原理图,图10(b)是其表示符号。使用时,公共阴极接地,7个阳极a~g由74LS48集成的七段译码器来驱动(控制),如图10(c)所示。

图10---数字显示译码器

2.3 数字频率计的完整电路图及基本原理 (1) 数字频率计完整电路图

数字频率计由4个基本单元组成;可控制的计数锁存、译码显示系统,石英晶体振荡器及多级分频系统,带衰减器的放大整形系统和闸门电路。经过前面章节的分析设计,得出数字频率计的完整电路图(图11)如下。

图11---数字频率计完整电路图

(2) 数字频率计测周期的基本原理

当被测信号的频率较低时,采用直接测频方法由量化误差引起的测频误差太大,为了提高测低频时的准确度,应先测周期Tx,然后计算fx=1/Tx。

被测信号经放大整形电路变成方波,加到门控电路产生闸门信号,如Tx=10ms,在此期间,周期为Ts的标准脉冲通过闸门进入计数器。若Ts=1us,则计数器计得的脉冲数N=Tx/Ts=10000个。若以毫秒(ms)为单位,则显示器上的读书为10.000。

以上分析可见,频率计测周期的基本原理正好与测频相反,即被测信号用来控制闸门电路的开通与关闭,标准时基信号作为计数脉冲。

3 仿真结果分析

3.1

上一篇:市政项目部岗位职责下一篇:淘宝商城运营计划书

本站热搜