eda技术论文范文

2022-05-14

以下是小编精心整理的《eda技术论文范文(精选3篇)》,欢迎大家借鉴与参考,希望对大家有所帮助!摘要:文章分析了EDA技术的发展、特点,以及EDA技术属于一种开发方式,紧紧围绕可编程器件能够使设计任务简化,且明确了电子设计行业中EDA技术的功能,并且对传统的电子设计方式与EDA技术设计方式进行了比较,最后归纳了EDA技术的应用和发展趋势。

第一篇:eda技术论文范文

基于EDA技术的现代电子设计方法

【摘要】人类现已进入高度发达的信息化时代。信息技术的核心是基于微电子技术的大规模集成电路,而大规模集成电路的核心技术是以计算机为平台的EDA技术。本文简要介绍了利用EDA技术设计电路的流程,并运用QuartusⅡ软件通过实例介绍了利用EDA技术进行电子设计的方法。

【关键词】EDA技术;QuartusⅡ;电子设计;VHDL

1.引言

集成电路设计不断向超大规模、低功率、超高速方向发展,其核心技术是基于EDA技术的现代电子设计技术。EDA(Electronic Design Automation,电子设计自动化)技术,以集成电路设计为目标,以可编程逻辑器件(如CPLD、FPGA)为载体,以硬件描述语言(VHDL、VerilogHDL)为设计语言,以EDA软件工具为开发环境,利用强大计算机技术来辅助人们自动完成逻辑化和仿真测试,直到既定的电子产品的设计完成。其融合了,大规模集成电路制造技术、计算机技术、智能化技术,可以进行电子电路设计、仿真,PCB设计,CPLD/FPGA设计等。简言之,EDA技术可概括为在开发软件(本文用QuartusⅡ)环境里,用硬件描述语言对电路进行描述,然后经过编译、仿真、修改环节后,最终下载到设计载体(CPLD、FPGA)中,从而完成电路设计的新技术。

以EDA技术为核心的现代电子设计方法和传统的电子设计方法相比有很大的优点,两种设计方法的流程如下图:

图1 传统电子设计流程图

图2 基于EDA的现代电子设计流程图

比较两种设计方法,基于EDA技术的现在电子设计方法采用自上而下的设计方法,系统设计的早期便可进行逐层仿真和修改,借助计算机平台,降低了电路设计和测试的难度,极大程度地缩短了电子产品的设计周期、节约了电子产品的设计成本。DEA技术极大的促进了现代电子技术的发展,已成为现代电子技术的核心。

2.QuartusⅡ软件开发环境介绍

QuartusⅡ软件是Alter公司开发的综合性EDA工具软件,提供了强大的电子设计功能,充分发挥了FPGA、CPLD和结构化ASIC的效率和性能,包含自有的综合器及仿真器,支持原理图、VHDL、VerilogHDL等多种设计输入,把设计、布局布线和验证功能以及第三方EDA工具无缝的集成在一起。QuartusⅡ与Alter公司的上一代设计工具MAX+plusⅡ具有一定的相似性,和继承性。使熟悉MAX+plusⅡ开发环境的设计人员可以快速熟练应用。相比之下,QuartusⅡ软件功能更为强大、设计电路更为便捷,支持的器件更多。增强了自动化程度,缩短了编译时间,提升了调试效率。从而缩短了电子产品的设计周期。利用QuartusⅡ软件进行电子电路设计流程如图3所示。

图3 QuartusⅡ设计流程图

3.在QuartusⅡ环境下的EDA方法设计实例

下面本文在QuartusⅡ环境下,以下降沿D触发器的设计为例来说明基于EDA技术的现代电子设计方法(本文以QuartusⅡ9.0为例)。

3.1 在计算机上安装QuartusⅡ9.0版本软件

QuartusⅡ9.0对计算机硬件配置要求不高,现阶段的主流配置完全可以满足其要求。QuartusⅡ9.0安装过程很简单,按照提示操作即可。

3.2 D触发器功能分析

从D触发器真值表可以看出,当时钟信号clk不论是高电平还是低电平,其输出q的状态都保持不变,当时钟信号clk由高电平变为低电平时,输出信号q和输入信号d的状态相同。

表1 D触发器真值表

输入d 时钟clk 输出q

× 0 不变

× 1 不变

0 下降沿 0

1 下降沿 1

3.3 D触发器的VHDL描述设计

下面给出D触发器的VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程序在QuartusⅡ9.0环境下,经保存后进行编译,然后可进行波形仿真。

3.4 设计仿真

VHDL描述程序编译后,建立矢量波形文件,之后可以进行波形仿真,得到如下波形仿真图(如图4所示):

图4 D触发器仿真波形图

此仿真波形符合D触发器真值表,说明电路设计正确。如果波形仿真不符合真值表,說明电路设计有问题,此时可以回到3.3步骤修改VHDL描述程序,直至仿真结果正确为止。

波形仿真正确后,可得出相应的逻辑电路图,D触发器电路图(如图5所示)如下:

图5 D触发器逻辑电路图

3.5 配置下载测试

整个电路设计、编译仿真无误后,按照FPGA开发板说明书进行引脚锁定,重新进行编译后,然后通过下载电缆线,将产生的sof文件下载至FPGA中,对电路进行测试、验证,完成电路的最终设计。

4.结束语

本文以QuartusⅡ开发环境下的实际电路设计为例,介绍了基于EDA技术的现代电子设计方法。通过设计过程可知,DEA技术在现代电子电路设计中的重要性。在电子技术飞速发展的信息时代,EDA技术也在不断发展。电子产品设计者有必要熟练掌握硬件描述语言、可编程逻辑器件以及各种主流软件开发环境,这样才可以在最短的时间内完成高质量的电子产品设计任务。

参考文献

[1]阎石.数字电子技术基础[M].北京:高等教育出版社(第五版),2006.

[2]刘江海.EDA技术[M].武汉:华中科技大学出版社,2009.

[3]潘松,黄继业.EDA技术与VHDL[M].北京:清华大学出版社,2009.

[4]Hayes,C.Circuits by design[Electronics PCB Design]Engineering & Technology 2013,8(7).

作者:张劭昀 梁佳雯 郭海双

第二篇:EDA技术的特点与应用研究

摘 要:文章分析了EDA技术的发展、特点,以及EDA技术属于一种开发方式,紧紧围绕可编程器件能够使设计任务简化,且明确了电子设计行业中EDA技术的功能,并且对传统的电子设计方式与EDA技术设计方式进行了比较,最后归纳了EDA技术的应用和发展趋势。

关键词:EDA技术;特点;应用;发展

0 引 言

Electronic Design Automation即EDA技术,其是指电子设计自动化。EDA技术作为一种新型技术,具备较快的发展速度,其设计载体是可编程大规模逻辑器件,其主导的描述系统逻辑的语言是硬件表述语言,其设计工具是可编程大规模逻辑器件的实验开发系统和软件系统、计算机,结合相关的开发软件,自动以软件的形式在硬件系统中设计电子系统的一种新型技术。EDA技术能够进行逻辑优化、逻辑分割、逻辑化简、逻辑综合、逻辑编译、逻辑仿真、逻辑布局布线等,进行相应目标芯片的编程下载、逻辑映射、适配编译等工作,从而使专用集成芯片或者是集成电子系统最终形成。

1 EDA技术的概念、发展历程、特点

EDA技术的发展基于电子系统设计、集成电路、计算机,截止目前已历经几十年的过程,EDA技术的发展能够划分为三个时期,上个世纪70年代是计算机辅助设计,即CAD时期,该时期的特点主要是通过计算机辅助PCB布线、编辑电路原理图,从而让设计人员由以往高度重复的绘图工作中获得解放;上个世纪80年代是计算机辅助工程设计时期,即所谓的CAED时期,该时期的特点主要是紧紧围绕自动布局布线、定时分析、模拟逻辑、仿真故障等,主要对设计电路的功能检测问题进行处理,确保设计可以在制作产品前其性能和功能进行预知。上个世纪90年代是电子设计自动化时期,即EDA技术时期,这一时期的特点主要体现为综合技术、仿真系统、高级描述语言,融入的设计思维是“自顶向下”,通过EDA工具进行设计之前的高层次设计。作为电子技术设计的自动化的EDA可以引导电子系统或者是电子电路的软件工具,这种工具能够在设计电子产品的一系列时期体现其功能,从而可能设计出尤为复杂的系统或者是电路。在设计原理图的时期,能够结合EDA当中的仿真工具对设计正确与否进行论证。在设计芯片的时期,能够结合EDA当中的芯片设计工具对芯片版图进行设计制作。在设计电路板时期,能够结合EDA当中的设计电路板的工具对多层电路板进行设计。尤其是在出现硬件描述语言的EDA工具之后,便可以自动化地设计复杂数字系统,仅仅需要结合硬件描述语言准确地描述数字系统的行为,那么就能够设计和制作这种数字系统的芯片。

EDA体现了当前最新的电子设计技术的发展趋势,通过EDA工具,电子设计人员能够在设计电子系统中基于协议、算法、概念等,能够结合计算机进行很多的工作,且能够在计算机上自动进行电子产品的性能分析和电路设计,包括PCB版图或者是IC版图的设计。设计人员应用新型“自顶向下”的高层次设计,这一设计方式先立足于系统设计,在顶层划分功能方框图并设计结构。在方框图中完成仿真和纠错,且通过硬件描述语言表达高层次系统行为;再通过优化工具生成实际门电路网络表,专门的集成电路或者是印刷电路板是其相对的物理实现级。设计人员只能够通过软件,也就是通过EDA软件与硬件描述语言实现系统硬件的功能。在高层次上进行仿真设计以及调试,不但能够尽早明确设计结构的错误,而且提高了一次设计成功系数,降低了仿真逻辑功能工作量。

HDL即硬件描述语言属于一种高级的计算机语言,能够设计电子系统的硬件,其结合软件设计手段对电路连接形式、电路结构、电子系统逻辑功能进行描述。作为EDA技术关键性组成部分的硬件描述语言属于设计开发EDA中非常重要的一种软件工具。而作为主导的电子设计硬件描述语言的VHDL,即超高速集成电路硬件描述语言,其建模能力与电路描述非常强,可以由很多层次描述数字系统,进而使设计硬件的任务显著简化,实现了设计稳定性的提升,在设计电子系统中应用VHDL的显著优势使设计人员能够专注实现其功能,而不需要把太多的精力与时间用在不影响工艺跟功能的因素上。硬件描述语言能够由三个层次描述电路,其层次由低至高,各自是电路级、几级、行为级。通过VHDL设计电子系统的好处在于:一是VHDL的寬范围描述能力确保其可以变成中心的高层次设计,调整设计人员的工作重点为实现和调试系统的功能,仅仅需要在物理实现中应用较少的精力;二是VHDL能够以清晰、简洁的代码来设计复杂控制逻辑,方便灵活,方便沟通、重用、保存设计结果;三是VHDL的设计不需要相应的器件,便于转换工艺;四是VHDL属于标准语言,其被很多EDA企业支持,为此,具备良好的移植性。

将传统的电子设计方式与EDA技术设计做对比,传统的数字系统设计仅仅设计于电路板上,其方式像是搭积木,这样难以设计和调试复杂电路;倘若某个环节不正确,非常不便于查询和整修;针对集成电路设计,其设计实现过程直接联系实际的生产工艺,不具备良好的移植性;只有在生产芯片或者是设计样机之后才可以实现,进而导致产品的开发周期过长。EDA技术跟传统的电子设计方式存在显著的差异性,其结合可编程器件和设计芯片使系统的功能实现。在输入设计与引入库中应用硬件描述语言,设计人员对器件的管脚和内部逻辑予以定义,在设计芯片中完成固有的绝大部分设计电路板的工作。因为定义管脚较为灵活,设计电路板和电路图的难度、工作量显著减小,所以工作效率与设计的灵活性提高。并且,芯片的数量有所降低、系统的体积减小、能耗减少、系统的稳定性与性能提升,从而能够全面地应用于计算机进行自动化设计、调试、仿真。

2 EDA技术的应用以及发展趋势

EDA技术的发展快速,其逐步在科研、教育、设计和制造产品中体现着非常大的功能。

在科研上,EDA技术重点结合电路仿真工具实现电路的仿真和设计;结合虚拟仪器调试产品;在仪器设备中应用开发的CPLD/FPGA器件,在前期开发大批量产品芯片或者是小批量产品芯片中能够直接应用CPLD/FPGA。对于传统机电的技术改进和换代升级来讲,应用CPLD/FPGA能够使传统产品的性能提升、减小体积、增加产品附加值和技术含量。因此,广大电子工程师以及高校相关专业学生需要熟悉该技术,这不但是设计效率提升的要求,也是社会发展的需要,只有熟悉了EDA技术,才可以更好地参与到全球电子工业市场竞争中,才可以获得发展和生存。基于科学技术的不断发展,电子产品更新速度与日俱增,在现代电子设计中,EDA技术是开发与研制电子产品的根本动力。因此,EDA技术的发展属于电子产业界以及电子设计行业的一个非常大的技术变革。与此同时,其对电子信息类学科科研和教育工作的要求也越来越高。

在教学上,很多高校的电子信息类专业都设置了EDA学科,其关键在于使学生把握EDA技术的涵义、基础理论等,明确应用EDA工具模拟仿真电子电路学科实验以及以VHDL表达系统逻辑的方式,像是能够应用CPLD/FPGA器件进行竞赛设计、课程设计、实验教学、毕业设计等,确保电子系统的设计或者是实验装置具备便于修改、快速、稳定、经济、易实现的特点。并且,其实现了学生计算机应用技能、创新能力、动手操作能力的显著提升。

在设计和制造产品上,由性能较高的数字信号处理器和微处理器一直到电子玩具电路、音响、彩电等,EDA技术不仅仅适用于之前的调试产品、计算机模拟仿真,还能够焊接和制作电路板、生产和研制电子装置、制作PCB。从某种意义上来讲,电子工业行业中离不开EDA技术的支持。

3 结 论

总而言之,在电子设计行业中,EDA技术属于一个伟大的变革,当今恰恰处在迅速发展的时期,每一年都会出现一些新型的EDA工具,而国内应用EDA技术的能力还远远不如一些发达国家。为此,无论是作为高校电子类的学生、還是电子硬件工程师,都务必学习和掌握EDA技术,从而能够开发CPLD/FPGA。只有如此,才可以在未来的社会发展和竞争中占据优势地位。

参考文献:

[1] 邱宇,王世元.区别于本科教学模式的高职院校EDA课程教学的改革与实践 [J].西南农业大学学报(社会科学版),2012,10(5):193-195.

[2] 杜卫平.EDA技术在电子设计中的应用 [J].现代工业经济和信息化,2017,7(14):19-20.

[3] 杨焯群.EDA技术发展综述 [J].电子制作,2018(1):90-91.

[4] 刘义杰,徐军,金亚玲.浅谈《EDA技术》课程教学改革 [J].成功(教育),2011(16):11.

作者简介:李艳(1981.10-),女,河南商丘人,讲师,本科。主要研究:电学、物联网。

作者:李艳

第三篇:浅析电子设计中EDA技术的应用

【摘要】伴随着信息化社会的高速发展,EDA技术逐步发展起来,并越来越广泛地被应用到电子设计的各个领域中去,与传统的电子方法相比较,电子设计自动化具有更加强大优势与特点。

【关键词】电子设计;EDA技术;技术应用

引言

电子技术在信息化时代得到了高速发展,各类电子产品成为了人们生活中不可或缺的一部分,随着电子产品附带的功能逐渐增多以及性能方面的拓展,人们对电子技术提出了更高的要求。集成电路制造技术和电子设计是推动电子产品发展的主要动力,其中电子设计更是以前沿尖端的EDA技术为核心,在电子技术不断取得突破的今天,CPLD、FPGA可编程逻辑器件也越来越多的应用于电子设计,为电子设计带来了广阔发展空间和适应各项需求的灵活性。

1.EDA技术的特点与应用

(1)FPGA/CPLD的编程方式较易实现无线编程、红外编程、超声编程,或通过电话线远程在线编程,并且具有良好的加密功能。

(2)不存在MCU所特有的复位不可靠和PC跑飞等固有缺陷,还可将整个系统下载于同一芯片中,缩小了体积,易于管理与屏蔽,从而具有高可靠性。

(3)对于复杂多变的通信协议来说,利用VHDL进行FPGA编程高效、灵活,并且能够快速适应标准的升级,实际上FPGA的大容量、高速、高性能的发展趋势正是为了迎合通信领域应用的需要。

(4)器件的功能块可以同时工作,能够实现指令级、比特级、流水线级甚至是任务级的并行执行,加快了运算速度,由FPGA实现的运算系统可以达到现有通用处理器的数百甚至上千倍。将EDA技术应用于电子系统设计,能减小设备体积,降低功耗,提高电路的可靠性,减少上市时间,将设计风险降至最小,是数字系统设计的发展方向。在数字信号处理领域,传统的设计方法有2种:

a.采用DSP处理器,如TMS320系列微处理器;b.采用固定功能的DSP器件或ASIC器件。随着DSP系统复杂程度和功能要求的提高,这些DSP解决方案暴露出缺陷:DSP处理器方案成本低,但软件处理数据不可能有很强的实时性能,限制了在高速和实时系统中的应用;固定功能的DSP器件或ASIC器件可以提供很好的实时性能,但灵活性太差。相对DSP处理器,FPGA可以由设计者根据算法的内在并行结构设计合适的处理阵列,避免前者串行执行指令的低效;相对ASIC,FPGA可避免初期巨大的开发投资,并且拥有如微处理器的通用性和灵活性。加之FPGA内部大都提供了RAM、双口RAM和FIFO-RAM等存储体结构,所以FPGA可以完全取代通用DSP芯片或作为通用DSP芯片的协处理器进行工作。如果将通用DSP和FPGA融合在一起,把需要多个时钟周期的运算交给FPGA完成,DSP芯片主要完成单时钟的运算并控制FPGA的“可再配置计算”功能,可更好地把二者的优势发挥出来。

2.电子设计中EDA技术应用需注意的问题

在电子设计中应用EDA技术需要注意以下几点:

①在电子电路设计的时候,延时时间具有不确定性的特征,以及自动编译的部分电路可能会成为赘余,所以电子设计中采用EDA时,反向器的个数不易为偶数并联连接;②输入引脚要保持接地,不能处于悬空的状态,驱动的时候要保证是有源信号;③各个器件的电源要保持接地状态,需要的时候要对各个连接进行滤波和解耦处理;④设计的过程中,逻辑单元和引脚都要留出多余的部分,便于后期的扩展设计或者是设计修改;⑤需要采取一定的冷却处理,避免各个器件使用的时候过热。

3.EDA技术设计流程解析

3.1 源程序的编辑、编译及行为仿真

一项工程的设计首先需利用EDA工具的文本编辑器或图形编辑器将它用文本方式(VHDL程序方式)或图形方式(流程图方式和状态图方式)表示出来。这两种表达方式必须首先通过EDA工具进行排错编译,变成VHDL文件格式,为进一步的逻辑综合作准备。在逻辑综合以前可以先对VHDL所描述的内容进行行为仿真,即将VHDL设计源程序直接送到VHDL仿真器中仿真。

3.2 目标器件

逻辑透配就是将由综合器产生的网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布线与操作等,配置于指定的目标器件中,产生最终的下载文件。随后,可进行时序仿真。时序仿真是将布线器/适配器所产生的VHDL网表文件送到VHDL仿真器中所进行的仿真。该仿真已将器件特性考虑进去了,因此可以得到精确的时序仿真结果。如果编译、综合、布线/适配和行为仿真、功能仿真、时序仿真等过程都没有发现问题,即满足原设计的要求,就可以将由CPLD/FPGA布线/适配器产生的配置/下载文件通过编程器或下载电缆载入目标芯片CPLD或FPGA中。

3.3 硬件仿真与测试

在电子设计当中,经常会通过FPGA来完成对电子系统设计的功能检测,检测完成之后通过VHDL进行设计,最后呈现结果。这是硬件的仿真过程。而硬件的测试过程是指针对于CPLD以及FPGA直接应用到设计的过程当中,将文件下载之后,对电子设计过程进行功能检测。在对EDA技术进行的功能及时序仿真阶段,如果在仿真过程中没有发现任何问题,就可以将生成的文件下载到目标芯片当中。在这个过程中,应当注意以下几个重要事项:

①不可以采取反相器串联法来构成“延时电路”。

②在输入引脚时不可以悬空,必须通过有源信号进行驱动,将不使用的引脚进行接地。

③器件電源和接地的地线引脚应当要可靠连接。

④为了方便EDA技术应用的扩展和设计,在对要使用的器件进行选择时,要使得逻辑单元以及引脚要有一定的数量余量。

⑤要注意把握好环境的变化,防止对器件造成过热引起故障。

4.8255A芯片设计中EDA技术的应用分析

4.1 8255A端口及构造体说明

该设计模块中PPI端口一共定义了40个引脚,定义与8255A是相同的。端口的构造体许多都是输入输出的双向引脚,其端口是相互对应的。在芯片端口的构造体内部,都是通过bus-in和bus-out总线来实现。

4.2 构造体进程说明

PPI的构造体包括5个进程,主要是读进程、写进程以及形成pa、pb、pc三态输出进程。其中pa、pb和pc进程比较简单,不需要做详细说明,在这里主要分析读、写两个进程。

(1)读进程工作就是指在片选信号和读信号都有效时,从各个端口对外部设备提供的信息数据进行读入。此外读进程对数据线总线的信息数据进行描述并且通过三态缓冲器进行实现。

(2)写进程工作就是在片选信号和写信号有效时,将总线上的数据信息写入到bus-out总线上,与此同时,将总线上的最高数据位进行寄存器保存,便于以后对使用方式的判别。因为在写进程中,VHDL语言编程方法与读进程中的十分相似,再加上源程序比较长,所以本文没有给出详细的源程序。

5.结语

可以说EDA技术的应用为电子设计行业带来了一次技术上的革新,这就要求电子设计工程师要熟练掌握好EDA技术,在提高效率的同时,开发出更多具有高性能的电子产品。使得EDA技术更好地适应社会发展,增强自身竞争实力,并推动电子系统不断向集成化、大规模化的方向快速发展。

参考文献

[1]卢紫毅,肖梓祥.对可编程ASIC发展新趋势的探讨[J].现代电子技术,2001(3):11-13.

[2]谢长焱,李义府,彭卫韶,何怡刚.电子设计自动化技术的发展与应用[J].吉首大学学报(自然科学版),2005(3):23-26.

作者:党春明

上一篇:结构化教学论文范文下一篇:传播学发展论文范文

本站热搜