EDA电子技术论文

2022-04-17

【摘要】分析了传统的电子技术实验教学存在的问题,指出实验教学改革的方向,阐述在电子技术实验教学中引入EDA的必要性与可行性,介绍将新型仿真实验教学与传统实验教学相结合的新型实验教学模式。今天小编给大家找来了《EDA电子技术论文(精选3篇)》相关资料,欢迎阅读!

EDA电子技术论文 篇1:

EDA与电子技术实践课程的融合研究

摘 要: 文章针对电子技术实践课程传统教学模式的不足,提出将EDA技术融入实践课程中,以仿真实例探讨EDA与电子技术实践课程的融合方法,借助EDA技术提供的广阔平台,辅助实验教学和开展课程设计。研究表明,融入EDA技术后,学生先仿真后实验,设计更灵活,理实结合,使得传统教学与EDA技术相辅相成,同时鼓励学生自主创新设计,激发学生的学习兴趣,提高学生的自主学习能力和工程实践能力。

关键词: EDA 电子技术 电路仿真

一、引言

EDA,即电子设计自动化,是运用EDA软件实现电路设计、仿真和测试等功能以实现电子器件或电子系统设计的技术[1]。常用的EDA软件有Multisim、Proteus和Pspice等。目前,EDA技术发展迅速,不断深入电子技术的各个领域,发展前景非常广阔。国内各大院校的电子类专业都相继开设EDA技术相关课程作为其专业的必修课程,其地位和作用不言而喻。

模拟电子技术、数字电子技术等是电子类专业非常重要的专业基础课,这两门课程的特点是理论教学比较抽象,学生难以理解,而实践性非常强,因此要配合一定学时的实验和课程设计等实践教学配合理论教学。而在传统教学中,这些实验课程的开设学时有限,且受到实验仪器、设备的影响,学生实际操作时有时无法达到期望的学习效果。

EDA技术的发展对电子技术实践课程教学方法和教学模式带来了新的变化和契机。将EDA技术融入这些实践课程教学中,学生不仅可以巩固理论课上的学习内容,而且可以理论联系实际,学以致用,不需要实验仪器和特定的实验环境,只需要在电脑上安装仿真软件,就可以随时进行验证性实验的仿真和测试,解决实验具体操作过程中遇到的难题、疑问和想法,更可以自己动手设计电路方案、调试电路,仿真成功之后再具体实施购买元件、搭建电路,大大降低成本。将EDA技术融合这些实践课程教学中,可以充分发挥EDA技术的优势,促进实践教学,同时更好地发挥学生的主观能动性,激发学生的学习兴趣,提高学生的工程实践能力,更好地促进电子技术实践课程教学改革的发展。

二、EDA技术与实验课程的融合

1.与模拟电子技术实验的融合

将EDA技术融入实验教学中,辅助开展实验,完成电子电路和系统的设计。具体实施时,可以引导学生课下预习时对电路进行仿真,进行参数测试和数值计算。课上实际动手操作,既利用了软件模拟的优势,又体现了实际动手操作的必要性,更让学生体会理论模拟和实际操作的具体差异。

例如,在模拟电子技术实验中,比较重要的一个实验内容是利用集成运放构成波形产生电路的研究[2]。学生在实验具体操作过程中,由于所用元器件和接线较多,进行电路调试时很容易出现问题。学生进实验室之前可预先利用EDA技术进行电路仿真,更好地了解电路原理及各个参数的变化对产生波形的影响。波形产生电路一种常见的方案是利用滞回比较器和积分器首尾相接构成正反馈闭环系统[5]。利用Multisim软件仿真电路如图1所示,电路前半部分构成了滞回比较器,后半部分电路构成了RC积分电路。滞回比较器输出端口得到的方波经RC积分电路积分得到三角波,三角波触发滞回比较器自动翻转得到方波。利用仿真软件的虚拟双踪示波器可以观测方波、三角波的输出波形,不仅可以清晰地观察方波和三角波之间的对应关系,还可以灵活调节R1、R2参数,改变三角波的幅值大小。仿真实验成功后,学生对实验现象有了感性认识,对实验涉及的相关理论知识也会有自己的理解,在实际动手操作实验时,搭建电路会有目的性,出现问题也能尝试解决。

2.与数字电子技术实验的融合

在数字电子技术实验中,由于重点在于常用的一些组合逻辑电路和时序逻辑电路集成电路片的应用,包括与非门74LS20、数据选择器74LS153、译码器74LS138、触发器、计数器、移位寄存器等[3]。一些比较简单的芯片功能和参数测试的实验都可以让学生仿真实现,熟悉好常用芯片后,就可以分配更多的时间让学生在实验室进行设计性、综合性实验[4],给学生更多发挥空间,并学以致用。

例如,Multisim软件中的字发生器是一个可以产生32位同步逻辑信号的仪器,用于对数字逻辑电路进行测试,产生的信号经常用做数字电路的输入信号,其外形如图2所示,其中R为备用信号端,T为外触发输入端。模拟实验中,利用字发生器产生一个十六进制循环脉冲从00000000到00000009,经74LS47显示译码器译码后,可使数码管显示0~9相应的字形。图2为字发生器产生序列为00000005,即输入DCBA=0101时,译码器译码后使得数码管显示“5”字形。仿真结果一目了然,并且学生可查看关键节点的高低电平,分析输入输出之间的逻辑关系。借助EDA技术,不仅巩固所学内容,还提高学生的学习兴趣。

三、EDA技术与电子技术课程设计的融合

电子技术课程设计的流程,一般是学生选定题目后,进行具体的方案设计。实现方案可以有多种,通过比较选择最优方案。而进行方案验证的时候如果融入EDA技术会大大节约时间和成本。Multisim软件里面含有丰富的元件库和虚拟仪器,学生可以根据仿真结果随时修改电路、替换元件和改变元件参数,直到满足设计要求,大大增强使用的灵活性,节约耗材。

图3为一个简单的电子秒表的设计仿真图。该电路包括波形产生、清零、计数、显示模块。利用555定时器构成一个多谐振荡器,调节电位器RW使其输出端产生频率为50Hz的脉冲信号,该信号通过74LS90构成的五进制计数器进行五分频后得到0.1s的计数脉冲,为计数器提供脉冲信号。另外两个计数器构成十进制计数器,其输出端口分别接译码显示单元[5]。数码管可以直接显示计时时间,仿真结果生动形象。

将电子技术课程设计融入EDA技术后,先进行电路仿真选定实验方案,仿真成功满足设计要求后,再由学生购买元器件、焊接电路和进行电路调试,这样的一个流程使学生更加得心应手,还节约了耗材和成本。融入EDA技术后,学生还可以进行自主创新设计,随时随地将自己的设想在EDA实验室加以实现,大大提高学生分析问题、解决问题的能力,工程设计能力都会有明显提高。

四、结语

将EDA技术融入电子技术实践课程中,辅助实验教学和开展课程设计,一方面充分发挥了EDA技术的优势,学生不再单纯依赖实验设备和环境,可以自主完成实验仿真和电路方案验证等,设计更加灵活。同时先仿真后实验,理实结合,使得传统教学与EDA技术相辅相成,促进电子技术实践教学的发展。另一方面,改进教学模式,借助EDA技术提供的广阔平台,激发学生的学习兴趣,鼓励学生进行自主创新设计,提高学生的自主学习能力和工程实践能力。

参考文献:

[1]杨亚让.EDA技术在电子信息类专业教学中的应用研究[J].长沙铁道学院学报(社会科学版),2010,11(3):101-102.

[2]华成英,童诗白.模拟电子技术基础[M].第四版,北京:高等教育出版社,2006.

[3]阎石.数字电子技术[M].第四版,北京:高等教育出版社.

[4]叶佳卓,卢斌,程栋.基于EDA技术的数字电路实践教学探讨[J].实验技术与管理,2010,27(11):249-252.

[5]吕承启.林其斌.电子技术基础实验[M].合肥:中国科学技术大学出版社,2010.

作者:程学彩

EDA电子技术论文 篇2:

EDA在电子技术实验教学改革中的应用研究

【摘要】 分析了传统的电子技术实验教学存在的问题,指出实验教学改革的方向,阐述在电子技术实验教学中引入EDA的必要性与可行性,介绍将新型仿真实验教学与传统实验教学相结合的新型实验教学模式。

【关键词】 实验教学;EDA;仿真实验

1 传统的实验课教学中存在的主要问题

实验教学是理工类高等院校教学中的一个重要环节。《电子技术》是一门实践性很强的专业基础课,实验教学在这门课程中占有举足轻重的地位。过去,在电子技术实验教学中:

实验课程体系上:现行的电子技术实验没有独立设课,实验成绩只占电子技术课程成绩很小比例。

实验教学内容上 :开设的实验课题验证性实验偏多,综合性、设计性实验比例偏少,不利于促进学生自主创新意识和创新能力的培养。

实验教学方法上 :传统的实验教学方法不利于培养学生观察问题、分析问题、解决问题的能力。

在实验教学手段上:主要是传统的实物实验。目前各高校虽然对综合性、设计性实验有所重视,由于受实验场地、实验耗材限制,不能达到综合性、设计性实验应有的效果。

2 探索实验教学改革新路

针对上述问题,经过几年的探索研究,我们摸索出一条电子技术实验教学改革的新路子,概括为:

2.1 打破旧的实验课程体系,使电子技术实验独立设课。 06年我们重新修订教学计划,将电子技术实验课程其理论课分离,独立考核。大大提高了学生对电子技术实验课的重视程度。

2.2 改变实验教学内容,增加综合性、设计性实验课题,使综合性、设计性实验占到整个实验课比例的70%。

2.3 引入电子技术实验新技术,开设仿真实验[1]

3 EDA在电子技术实验教学改革中的应用研究

随着计算机技术的迅速发展 ,EDA(E1ectronic Design Automation),为电子线路设计提供了一种全新的设计方法。将EDA技术引入高校实验教学环节,改变传统的实验教学方法和手段,可以说是电子技术实验的一次革命。从2000年开始,我们将EDA(含EWB、Multisim、Pspice、Protel等具有虚拟实验功能的软件)引入电子技术实验及课程设计与毕业设计等多个实践环节,将新型仿真实验教学与传统实验教学相结合,探索实验教学新模式,提高了学生的学习兴趣和创新精神,提高了教学质量,取得了良好效果。

3.1 将EDA引入电子技术实验教学的必要性与可行性。《电子技术》是一门实践性很强的专业基础课。因此要学好这门课程,特别是模拟电子技术,需要有实验教学与实践环节相配合。但近年来高校招生规模不断扩大,电子技术实验教学任务繁重,尤其是增加了设计性、综合性实验比例后,导致实验资源不足,影响了教学效果。因此将EDA引入电子技术实验教学[2],开设计算机仿真实验,显得尤为必要。而且近年来,随着计算机技术的迅猛发展,已完全具备了开设EDA仿真实验的硬件条件,就我校而言,电子信息类专业中已有微机原理、网络技术、EDA技术、数字信号处理、单片机等众多实验室配备了微机,只要在这些微机上装上EWB、Multisim、Pspice、Protel等EDA软件,就可以进行电子线路的设计与仿真了。另外,随着微机的普及,较多学生已拥有了个人电脑,学生可以方便地通过虚拟实验验证课堂上所学的理论知识,方便地进行设计性实验。可以毫不夸张地说,拥有了一台电脑,就相当于拥有了个人的“电子实验室”。因此将新型仿真实验教学与传统实验教学相结合完全可行。

3.2 将EDA引入电子技术实验教学的探索与实践

3.2.1 将EDA应用于基础性、设计性、综合性实验。对于验证性实验而言,以前是使用实验箱,按照实验指导书一步一步操作,学生往往是动手不动脑,收效不大。后来,我们将EWB、Pspice引入电子技术实验教学,选取有代表性的课题,开设一定比例的虚拟实验,发挥了虚拟实验省时、高效、安全、经济的长处,解决了过去实验课完全依赖实验仪器且电子元器件损耗大和实验仪器损坏后维修不及时的问题。在虚拟实验中,学生还可以方便地改变电路参数,研究电路参数与性能指标之间的关系,将呆板的实验变为研究性的学习。

对于设计性、综合性实验而言,开设了虚拟实验后,克服了元器件品种、规格、数量不足的限制,避免了仪器损坏等问题,同时在虚拟实验中,学生可提出各种方案并设计电路,通过仿真,及时发现问题,随时改变电路结构和电路参数,直至达到预定目标。然后通过实物实验,完成实验课题。这样将虚实两种实验结合起来,提高了实验成功率,提高了学生学习兴趣,激发了他们的创新意识。仿真实验具有的这种研究性学习的特点,对提高学生的电子线路设计水平,培养创新能力有很大帮助,这是单靠传统的实物实验无法达到的。

3.2.2 将EDA应用于课程设计与毕业设计等实践环节。现在用人单位对学生的动手能力要求越来越高。因此我们除了加大设计性、综合性实验比例外,也十分重视课程设计、毕业设计等实践环节,从中培养学生的动手能力、创新思维能力。因此,我们除了开设独立于电子技术理论课的《电子技术基础实验》、《电子技术设计实验》外,还增加了《电子技术课程设计》作为实践环节。此外,我们还适时组织课外电子设计与制作活动,培养和训练参赛选手,参加校级、省级、全国电子设计竞赛,使学生的电子设计与制作能力得到进一步提高。在上述各实践环节中,学生都会应用其中1-2个仿真软件作为电路设计手段,进行课程设计、毕业设计及其他各项电子设计,通过仿真后,再进行实物制作。这种虚实结合的方法,既发挥了虚拟实验高效、先进、经济的长处,又培养了学生动手能力、创新能力,极大地提高了设计与制作质量。

参考文献

[1] 康恰,范寒拍.EDA在电子技术教学中的应用[J].电气电子教学学报,2000,3:91~93

[2] 李忠波,袁宏.电子设计与仿真技术[M].北京机械工业出版社,2004

收稿日期:2008-5-03

[4] Rost B Neural networks for protein structure prediction:hype or bit?一New York CUBIC Columbia Universityhttp://www.embl-heidelbergde/-rost/Papers/pre1999-tics/paper.html

收稿日期:2008-5-23

作者:吴东升

EDA电子技术论文 篇3:

基于EDA的《电子技术》实验课程教学实践①

摘要:本文介绍了在电子技术课程改革的情况下,《电子技术》实验课程如何教学的问题;用EDA在实验教学中发挥作用,来弥补课时少,实验设备的不足缺憾,完成《电子技术》实验课程教学。

关键词:EDA电子技术实验课程教学

在学校第一批质量工程项目已完成的情况下,正开展第二批实践课程项目实施工作,《电子技术》是第一批质量工程项目完成后诞生的计算机专业的新课程,《电子技术》是《电路》、《模拟电子技术》和《数字电路》的集合,由于是三门课的集合,实验课时由原来的每门课程8课时(共24课时),调整为现在的总8课时,如何在课时少又要学生真正学到相关的知识增加动手能力,上好实验课尤为重要。在实践中,充分发挥计算机的作用,利用EDA来满足实验课程的需要,弥补实验设备的不足,拓宽学生的视野。

1 EDA的发展

EDA是电子设计自动化的英文缩写(Electronics Desingn Automation)。EDA技术是利用计算机工作平台,从事电子系统和电路设计的一项技术,EDA技术是以计算机科学和微电子技术发展为先导,汇集了计算机应用科学、微电子结构、工艺学和电子系统科学最新成果的先进CAD技术,它是由电子CAD发展起来的,是计算机信息技术,微电子技术、计算机图形学、电路理论、信号分析与信号处理等理论和技术的结晶,随着微电子技术和计算机信息技术的而迅速发展。[1]

目前有代表性的EDA软件,有PSpice、Multisim、EWB等三种流行软件。

2 EWB在实验教学中的应用

电子技术实验分三部分内容:一是电路部分,我们安排实验有(1基尔霍夫定律、 2、叠加定理3.电源等效);二模拟电子部分有(1基本放大电路、2集成运算放大电路、3直流稳压电源);三数字电路部分有(1组合逻辑实验、2时序逻辑实验)。在实验仪器上做之前,先在计算机上用EWB模拟(6学时)。

(1)验证实验-基尔霍夫定律-叠加定理

按实验原理图,用EWB画出电路图,电路如图1所示

基尔霍夫定律验证,通过模拟测量得到:

I1=198.5mA I2=12.41mA

I3=210.9mAI1+I2=I3

通过此电路还可做叠加定理验证,实验步骤略。

(2)数字电路实验

例:举重赛场,三人裁判,只有两人以上通过,最终结果通过,设计一个三人表决器。

根据逻辑问题,列出逻辑表达式如下:

据逻辑表达式设计出逻辑门电路如图2示。

按图2设计的逻辑电路,三个两输入与非门,一个三输入与非门,用7400、7410两个集成电路设计电路实现。

通过以上实验实例,加深了学生对实验的感性认识,同时也得到了锻炼,为用仪器做打好了基础。

3 结语

在电子器件硬件成本高、设计周期长的情况下,掌握“EWB”这个EDA工具软件,特别适合计算机专业的学生,用它来学习电子技术知识,提高对电路的分析能力和创新能力。通过EDA的教学实践,使学生通过“EWB”在仿真实验中得到锻炼。“EWB”做虚拟实验可以跟学生当前所学的理论知识紧密衔接,既有助于夯实基础,又可以帮助学生开拓视野,活跃思维。

“EWB”的虚拟环境非常逼近现实,且在元器件件及仪器仪表的种类上又远胜于实验室里的现实条件,它优良的虚拟现实性可以使学生产生身临其境之感。

学生在掌握“EWB”这样一个“EDA”软件的同时,往往能激发出更大的学习兴趣,奠定进一步学习“EDA”技术的基础,还有利于学生在思想观念上形成学习和应用先进的仿真和设计工具(软件)的意识,可以变被动接受为主动学习。

参考文献

[1] 沈复兴,等.电子技术基础[M].北京:电子工业出版社,2005.

[2] 邱关源,等.电路[M].北京:高等教育出版社,2005.

作者:陈元滨

上一篇:中成药包装设计论文下一篇:我国房地产营销论文

本站热搜