dds函数信号发生器

2024-04-19

dds函数信号发生器(精选8篇)

篇1:dds函数信号发生器

基于DDS芯片AD9850的全数控函数信号发生器的设计与实现

龙安国

(永州职业技术学院,湖南 永州 425006)0 引言

http:///xsj07/xsj091134.asp 信号源是电子产品测量与调试、部队设备技术保障等领域的基本电子设备。随着科学技术的发展和测量技术的进步,普通的信号发生器已无法满足目前日益发展的电子技术领域的生产调试需要。而DDS技术是一种新兴的直接数字频率合成技术,具有频率分辨率高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化易于集成、体积小、重量轻等优点,因而在雷达及通信等领域具有广泛的应用前景。

1系统设计方案

本文提出的采用DDS作为信号发生核心器件的全数控函数信号发生器设计方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至以数字电位器为核心的数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。该函数信号发生器的结构如图1所示。

本系统主要由单片机、DDS直接频率信号合成器、数字衰减电路、真有效值转换模块、A/D转换模块、数字积分选择电路等部分组成。DDS的基本原理

直接数字频率合成器(Derect Digital Synthesizer)是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器通常由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)组成。DDS的组成结构如图2所示。其中,K为频率控制字(也叫相位增量),P为相位控制字,W为波形控制字,fc为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址来对波形ROM进行寻址,波形ROM输出的D位幅度码S(n)经D/A转换变成阶梯波S(t)后,再经过低通滤波器平滑,就可以得到合成的信号波形。由于合成的信号波形取决于波形ROM中存放的幅度码,因此,用DDS可以合成任意波形。

3硬件电路设计

3.1 DDS信号产生电路

考虑到DDS具有频率分辨率较高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化、易于集成、体积小、重量轻等优点,该方案选用美国A/D公司的AD9850芯片,并采用单片机为核心控制器件来对DDS输送频率控制字,从而使DDS输出相应频率和类型的信号,其DDS信号产生电路如图3所示。

3硬件电路设计

3.1 DDS信号产生电路

考虑到DDS具有频率分辨率较高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化、易于集成、体积小、重量轻等优点,该方案选用美国A/D公司的AD9850芯片,并采用单片机为核心控制器件来对DDS输送频率控制字,从而使DDS输出相应频率和类型的信号,其DDS信号产生电路如图3所示。

单片机与AD9850的接口既可采用并行方式,也可采用串行方式。为了充分发挥芯片的高速性能和节约单片机资源,本设计选择并行方式将AT89S52的P0口经74HC373锁存器扩展后接至DDS的并行输入控制端(D0~D7)。AD9850外接120 MHz的有源晶振,产生的正弦信号经低通滤波器(LPF)去掉高频谐波后即可得到波形良好的模拟信号。这样,将D/A转换器的输出信号经低通滤波后,接到AD9850内部的高速比较器上,即可直接输出一个抖动很小的方波。再将方波信号加至积分电路,即可得到三角波信号。另外,也可通过键盘编辑任意波形的输出信号。

3.2键盘输入接口及LCD接口电路

本系统中的数字输入设置电路采用2×8矩阵键盘。由于LCD具有显示内容多,电路结构简单,占用单片机资源少等优点,本系统采用RT1602C型LCD液晶显示屏来显示信号的类型、频率大小和正弦波的峰一峰值,图4所示是键盘输入及LCD接口电路图。

同样,考虑到AT89S52单片机的IO引脚资源有限,本系统的键盘输入及LCD输出均通过74HC245连接到AT89S52单片机的P0端口,从而实现端口扩展和复用。

3.3信号幅度数控预置电路

为了实现对输出的正弦模拟信号幅度的数字控制和预置,本系统采用了AD811高速运放、数字电位器衰减、真有效值转换、以及A/D转换等电路,具体电路图如图5所示。

数字电位器X9C102是实现信号幅度数字可调的关键器件。真有效值转换模块AD637主要负责信号的TRMS/DC转换,然后经TLC2453模数转换向单片机输送正比于正弦波信号幅度的数字量,以便单片机输出合适的幅值控制指令。

3.4积分电容自动切换控制电路

三角波是常用信号之一,本系统采用RC积分电路将方波信号转换成三角波。由于信号频率很宽(低频达1 Hz以下,高频达60 MHz以上),为了完成不同频段的线性积分,需要不同的积分电容(10pF、100pF、1 nF、10nF、100nF、1 μF、10 μF、100μF)。基于数控和自动切换的需要,本系统采用如图6所示的CD4051八选一电路。

CD4051的八选一控制信号来源于AT89S52的P0~P3接口,74HC373P也是考虑复用P0端口而设置的。AD9850输出的方波经积分电路转换为三角波后,经AD811高速运放可提高其负载能力。

4系统软件设计

4.1 主程序

主程序可控制整个系统,包括控制系统的初始化、显示、运算、键盘扫描、频率控制、幅度控制等子程序,其主程序流程如图7所示。

初始化可将系统设定为默认工作状态,然后通过扫描键盘来判断是否有按键按下以确定用户要执行的任务,同时通过判断23H.4、20H.1、20H.0各功能标志位来确定应完成的功能。当23H.4=1时,计算频率值系统工作在频率计方式下;当20H.1=1时,检测峰峰值系统将检测输出信号的峰峰值:而当20H.0=1时.则更新LCD显示内容,当执行完后返回键盘扫描程序并以此循环。各功能标志位均由键盘、峰峰值检测和定时程序等控制,从而实现各种功能。

4.2键盘扫描子程序

键盘扫描子程序如图8所示。因按键较多。本系统采用2×8行列式键盘来节约I/O口,并用程序把8根列线全部拉低,再判断2根行线是否有低电平,如果没有,说明没有按键被按下,系统则退出键盘扫描程序,否则,依次拉低列线,然后依次判断行线是否有低电平并判断键号,键号确定后再转到键号相对应的功能程序去执行。键盘主要方便用户设置频率、幅度、选择工作方式等功能。

4.3 信号频率数字预置子程序

信号频率的数字控制程序流程如图9所示。该部分程序主要用于将键盘输入值转换成十六进制数据,然后产生相应的频率控制字并送至DDS芯片,以改变DDS的相位增量,最终输出相应频率信号。结束语

通过严格的实验测试证明,本系统采用DDS完全可以实现输出信号类型的选择设置、信号频率数字预置、信号幅度数字步进可调等功能,是一种输出信号频率覆盖宽(0.023 Hz~40 MHz)、信号源分辨率高、波形失真小、全数控型函数信号发生器。具有一定的实用开发价值。

篇2:dds函数信号发生器

产品应用: 模拟传感器信号 重现实际环境信号 电路功能测试 信号相位调试 科研与教育 最高输出频率 输出通道数 采样率 任意波长度

CH1 CH2MHz 2 100 MSa/s 2 pts – 4kpts 2pts – 1kpts 1 μHzmVpp ~ 10 Vpp(50 Ω),4 mV ~ 20 Vpp(高阻)mVpp ~ 3 Vpp(50 Ω),4 mV ~ 6 Vpp(高阻)14 bits 10 bits

USB Host & Device 无

台式函数/任意波形发生器 宽×高×深=232mm×108mm×288mm 2.7 kg

频率分辨率 幅度范围 垂直分辨率

CH1 CH2 CH1 CH2

标配接口 选配接口 产品类别 尺寸 重量 产品综述

函数/任意波形发生器采用直接数字频率合成(DDS)技术设计,能够产生精确、稳定、低失真的输出信号。产品特性

1.采用先进的DDS技术,双通道输出,内置频率计,25 MHz最高输出频率

2.LCD单色液晶显示屏

3.5种标准波形及48种预设任意波形输出,可编辑10组4 kpts任意波形

篇3:dds函数信号发生器

DDS (direct digital synthesizer) 技术是一种从相位概念出发直接合成所需波形的一种新的全数字频率合成技术。具有较高的频率分辨率, 可以实现快速的频率切换, 并且在频率改变时能够保持相位的连续, 很容易实现频率、相位及幅度的数控调制。目前, 各大芯片制造厂商都相继推出了高性能、多功能DDS芯片, 为电路设计提供了多种选择。专用DDS芯片固定的控制方式使其在工作方式、频率控制等方面与实际系统的要求差距较大, 针对这种情况, 可以采用FPGA来设计符合用户系统需要的DDS, 较好的解决了专用DDS灵活性较差的问题。通常, DDS的FPGA实现是用VHDL或Verilog HDL等硬件描述语言通过编写底层代码来实现, 这种方式效率低、难度大。利用Allera公司FPGA的DSP开发工具DSPBuildcr设计DDS, 比基于硬件描述语言的设计周期更短、更容易。

现以基于现场可编程逻辑门阵列FPGA器件EP2C20Q240C8, Cygnal公司高性能C8051F340单片机和超高速数模转换器THS5651A为硬件平台, 基于模块化设计思想, 设计了能实现两路频率输出, 相位差、频率和幅度精确可调的任意信号输出的DDS函数发生器, DDS函数发生器的系统结构如图1所示, 主要由单片机、DDS模型、信号放大和程控滤波电路构成。由FPGA和D/A实现的DDS模型是整个DDS函数发生器的核心。DDS模型中的FPGA部分主要采用DSPBuilder实现, 转换成VHDL后, 采用软件Quartusll对其进行仿真并完成硬件验证。

1 DDS函数发生器原理

DDS是一种新型的频率合成技术, 基本原理是用全数字技术, 从相位概念产生频率、相位和幅度可以控制的信号。DDS模型主要由相位累加器、相位调制器、幅度调制器、锁相环倍频、ROM和D/A转换器构成。

1.1 相位累加器的设计

相位累加器的输入称为频率控制字输入。由于相位累加器字长的限制, 相位累加器累加到一定数值后, 其输出将会溢出, 波形数据地址就会循环一次, 这意味着输出波形完成了一个周期。在时钟频率不变的情况下, 改变频率控制字就可以改变输出频率fOUT。设计中32位频率控制字可以通过外部微处理器C8051F340来获得。累加器的位数决定了频率最小分辨率[1]。设计中相位累加器由一个32位加法器和一个同步寄存器组成。相位累加器的输入是相位增量Fword。用于累加的相位增量值Fword决定了信号的输出频率fOUT:

undefined

并呈线性关系, N是相位累加器的数据宽度, fCLK是系统基准时钟的频率值;频率分辨率Δf由下式给出:

undefined。

1.2 相位调制器的设计

相位调制器由一个10位加法器和10位同步寄存器组成。相位调制器接收相位累加器的相位输出, 加上一个相位偏移量形成正弦查询表地址, 取出表中与该相位对应的单元中的幅度量化正弦函数值, 用于信号的相位调制。这种处理方式的实质是将数据地址的偏移量映射为信号间的相位值。两路信号的相位差用相位控制字来控制, 只要相位控制字不同, 就可得到两路不同相位的移相信号, 设计中10位相位控制字可以通过外部微处理器C8051F340来获得。移相最大精度与波形表地址精度有关, 其算法由下面公式给出:

undefined

B为ROM波形表地址位数, 当波形表地址为10位时, 相位精度为0.35°。如果增加波形表中每周期点数可以提高移相的精度, 但会增加硬件开销, 在设计中可以根据需要来配置[2]。ROM查找表完成相位到幅度的转换, 它的输入是相位调制器的输出, 就是ROM的地址, 输出送往D/A转化成模拟信号[3]。

1.3 幅度调制器的设计

幅度调制器主要由一个10位乘法器和一个同步寄存器组成。幅度调制器接收ROM的输出, 乘上一个幅度控制量, 用于信号的幅度调制, 其输入称为幅度控制字。设计中10位幅度控制字可以通过外部微处理器C8051F340来获得。幅度调制器的输出只取乘法器的高10位输出, 故幅度控制的最大精度ΔA与D/A转换器的位数有关, 其算法由下面公式给出:

undefined,

式中:D——D/A转换器的位数;

V——输出信号的最大峰值电压。

本设计中D/A转换器为10位, V等于10V, 故ΔA=9.76mV。

D/A转换器使用TI公司10位器件THS5651A, 限于篇幅单片机、放大和程控滤波部分在此不做介绍。

2FPGA设计

本设计选用的FPGA是Altera公司Cyclonell系列的EP2C20Q240C8, 系统编译环境采用Quartusll, 顶层设计为图形化方式, 易实现模块化。Altera开发工具QuartusII提供了强大的IP内核, DDS模型中的模拟锁相环通过调用LPM宏模块实现, 模拟锁相环的输入时钟是20MHz, 考虑到THS5651A最高工作频率是100MHz, 将模拟锁相环的倍频系数设置为5倍频, 系统的工作时钟则为100MHz。使用片内模拟式锁相环实现系统工作时钟, 在减少片外干扰的同时, 还可以改善时钟的建立时间和保持时间, 是系统稳定工作的保证。DDS模型中用到多个加法、乘法运算, 比较复杂, 计算量大, 因此DDS模型中的剩余部分用FPGA的系统级设计工具DSPBullder实现[4]。

2.1DSPBuilder简介

DSPBuilder是Altera公司推出的FPGA系统级设计工具。它将Matlab和Simulink系统级设计工具的算法开发、仿真和验证功能与Qualtusll的基于VerilogHDL及VHDI语言的设计流程整合在一起, 实现了这些工具的集成, 为用户提供了一个从软件到硬件的完整DSP开发平台。这种设计方式实现了软件设计与硬件验证的直接握手, 大大简化了设计过程, 提高了设计效率。它的出现进一步推动了FPGA在数字信号处理领域的应用[4]。

2.2 基于DSP Builder 的DDS模型的建立

在Matlab/Simulink中建立一个*.mdl模型文件, 根据DDS模型的基本原理, 利用DSPBullder库和Simulink库中的图形模块进行设计。本设计采用层次化设计, 依据图1中的DDS模型, 利用DSPBullder库中的加减乘除模块以及端口模块来实现建模。建立的顶层系统模型如图2所示。图2中电路模块全部采用无符号数, 频率控制字为32 位, 相位控制字为10位, 幅度控制字为10位, 分别控制输出正弦波的频率、相位和幅度。由AltBus, Parallel Adder Subtractor, Delay构成的相位累加器是DDS模型工作的关键部分, 加法器的工作速度决定了输出信号的频率精度, 图2中加法器直接从Altera DSP Bullder库调入, 并采用四级流水线设计, 所谓流水线累加器, 就是将加法运算分解成几部分, 各个部分可以并行运算, 大大缩短了运算时间。相位调制器和幅度调制器中用到的加法器和乘法器, 也是从Altera DSP Bullder库中直接调用, 并都采用四级流水线设计。函数发生器查找表模块LUT1和LUT中存储的是相同的正弦波形数据, 计算式为:

511×sin ([0:2×pi/ (2^10) :2×pi]) +512

采用FPGA设计双路可移相DDS函数发生器可以方便地实现两路频率输出, 相位差、频率和幅度精确可调的任意信号输出, 而不必增加硬件成本。图2中, Fout信号和FRout信号为DDS模型的两路输出信号, Fout为移相后输出信号。FRout为参考信号, FRout信号与Fout信号的相位差φ由相位控制字Pword决定, undefined·Pword。用DSPBuilder设计的DDS模型是整个系统的一个子模块, 把DDS模型转化后的VHDL文件生成图元文件, 以便在整个系统设计中调用[5]。

3 仿真结果分析及实验验证

3.1 Simulink仿真结果

Simulink仿真参数设置:Stop time为100, Type为Fixed-step, 步进为1e-1。运行仿真结果如图3和图4所示。由仿真结果分析知, 其频率能够受频率控制字的控制, 其相位能够受相位控制字的控制, 其幅度能够受幅度控制字的控制。仿真正确后, 用SingalCompiler工具将此模型转换成VHDL语言源程序, 经过分析转换后的VHDL程序并将其转换为ATOM netlist, 最后编译ATOM netlist, 至此建模完成[6]。

图3中的两个波形是在频率控制字等于9F5E100H, 相位控制字等于200H, 幅度控制字等于3FFH时得到的仿真波形。其中上面波形是FRout信号输出, 下面波形是FRout信号经移相180°后的Fout信号输出。图4中的两个波形是在频率控制字等于9F5E100H, 相位控制字等于200H, 幅度控制字等于200H时得到的仿真波形。其中上面波形是FRout信号输出, 下面波形是FRout信号经移相180°后的Fout信号输出。

3.2 SignalTapⅡ测试结果

嵌入式逻辑分析仪SignalTapⅡ可以随设计文件一并下载于目标芯片中, 用于捕捉目标芯片内部系统信号节点处的信息或总线上的数据流, 而又不影响原硬件系统的正常工作。相比Quartus11的时序仿真, 嵌入式逻辑分析仪的测试结果更贴近实际[7]。以下波形是SignalTapⅡ的采样时钟为50MHz、深度为512字节下得到的。图5是频率控制字等于4000000H, 相位控制字等于200H, 幅度控制字等于3FFH时得到的SignalTapⅡ测试图形。图6是频率控制字等于4000000H, 相位控制字等于200H, 幅度控制字等于200H时得到的SignalTapⅡ测试图形。由图5和图6可以看出, 其输出波形为两路正弦波数据, 与图3和图4相比较, 其Simulink仿真与SignalTapⅡ测试结果一致。

3.3 实验验证

实测的正弦波输出频率和频率控制字关系见表1。测量结果表明正弦波的频率输出范围可达1Hz~10MHz, 步进可达1Hz, 误差为±0.3%, 稳定度通过频率稳定度计算公式:

undefined

式中:undefined——平均频率;

f0 ——标称频率。

本系统最终实现主要功能和参数如下:1) 输出波形类型:正弦波, 可通过改变ROM查找表波形数据实现任意波形的输出;2) 频率范围:1Hz~10MHz, 误差:±0.3%;3) 相位调整范围:0°~180°, 精度:0.35°;4) 幅度调整范围:0V~10V, 精度9.7mV, 误差:±1%。

实际中通过示波器可以看到清晰的输出波形。将DDS技术与FPGA相结合, 实现了各种波形的输出, 并且其生成的波形具有波形平滑、无毛刺、稳定度高、频率稳定度和分辨率高、相位可移等优点。

4 结论

基于DSP Builder的FPGA开发工具对函数信号发生器进行建模设计, 充分利用DSP Builder的建模方便快捷, 提高开发效率, 缩短研发周期, 而且系统设计调试方便, 容易修改, 可根据需要改变ROM查找表波形数据即可方便地实现任意波形的输出。结果表明该函数发生器频率、相位和幅度可灵活调整, 具有较高的频率分辨率, 能够实现频率、相位及幅度的快速切换。若要改变输出波形的步进精度, 只需在函数信号发生器设计模型中, 将频率控制字、相位控制字的宽度改变即可。因此采用基于FPGA的DSP Builder设计函数信号发生器简单快捷且方便可调。实验表明系统产生的波形稳定, 抗干扰能力强, 频率、相位和幅度调节方便, 精度高, 而且又便于修改和扩充其功能, 有很高的开发及生产价值, 基于FPGA的双路可移相DDS函数发生器已成功应用于本校教学实验室中。

参考文献

[1]曲永志, 李航, 雷霆.基于FPGA的双路可移相任意波形发生器[J].电子技术应用, 2008, (10) :22-24.

[2]郝小江, 伍刚, 周玉荣.基于FPGA的数字移相信号发生器设计[J].微计算机信息, 2009, 25 (2-2) :181-182.

[3]赖昭胜, 管立新.基于Dsp Builder的DDS实现及其应用[J].微计算机信息, 2006, 22 (11-2) :186-188.

[4]郝小江, 伍刚, 周玉荣.一种高速DDS结构及其FPGA实现[J].计算机与数字工程, 2008, 36 (12) :67-69.

[5]潘松, 黄继业.EDA技术与VHDL[M].2版.北京:清华大学出版社, 2007:339-343.

[6]Alter Corporation.Altera digital library[Z].Altera.2007.

篇4:dds函数信号发生器

【关键词】 信号发生器,DDS,AD9850,AT89S51

信号发生器在当今通信、电子测量仪表、雷达等电子系统中发挥着非常重要的作用。随着科学技术的发展和测量技术的进步,普通的信号发生器已无法满足目前电子技术领域的需要,而DDS技术是一种新兴的直接数字频率合成技术,具有频率分辨率高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化易于集成、体积小、重量轻等优点。利用DDS技术设计信号发生器,输出信号精度高,频带范围宽,并且控制简单方便,是信号发生器研究的一个重要方向。

1 DDS的基本原理

直接数字频率合成器是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器通常由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)组成。DDS的组成结构如图1所示。其中,K为频率控制字(也叫相位增量),P为相位控制字,W为波形控制字,fc为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址来对波形ROM进行寻址,波形ROM输出的D位幅度码S(n)经D/A转换变成阶梯波S(t)后,再经过低通滤波器平滑,就可以得到合成的信号波形。由于合成的信号波形取决于波形ROM中存放的幅度码,因此,用DDS可以合成任意波形。

2 系统设计方案

采用DDS作为信号发生核心器件的函数信号发生器实现方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。

该函数信号发生器的结构中,单片机AT89S51是整个系统关键部分,通过对键盘进行扫描读入相位信息,经转换后输出到芯片AD9850,输出波形。键盘输入的数字信息经AT89S51控制的LCD显示。

3 硬件设计

3.1 AD9850芯片介绍

AD9850采用先进的CMOS工艺,其功耗在3.3V供电时仅为155mW,扩展工业级温度范围为-40~80℃,采用28脚SSOP表面封装形式。

3.2 单片机与AD9850的接口

單片机与AD9850的接口既可采用并行方式,也可采用串行方式,但为了充分发挥芯片的高速性能,应在单片机资源允许的情况下尽可能选择并行方式。如下图所示,在硬件上,AD9850的数据线D0~D7与单片机P1口相连,FQ-UD和W-CLK分别和P2.6、P2.7相连,RESET端与单片机的复位端直接相连。

图  单片机与AD9850接口电路

3.3  滤波电路

低通滤波器可以分为巴特沃什滤波、切比雪夫滤波、贝赛尔滤波和椭圆滤波等。在该系统中,为了使输出信号频率最高100KHz时能够最低程度地降低AD9850外部系统时钟的干扰,采用具有较窄过渡带特性的椭圆滤波器,并采用7阶椭圆低通滤波。

3.4 功率放大电路

AD9850输出信号的电流约为10mA,输出阻抗50Ω,电压≦0.5V,达不到要求,为此必须经过功率放大电路。对于功率放大电路部分,我们选择集成宽带高性能运算放大器AD811。AD811为电流反馈性宽带运放,其单位增益带宽很宽,±15V供电情况下,-3dB带宽达100MHZ,适合本系统的宽带放大要求,且输出电流可达100mA。

3.5  键盘输入接口及LCD接口电路

本系统中的数字输入设置电路采用2*8矩阵键盘。由于LCD具有显示内容多,电路结构简单,占用单片机资源少等优点,本系统采用RT1602C型LCD液晶显示屏来显示信号的类型、频率大小和正弦波的峰-峰值。同样,考虑到AT89S51单片机的IO引脚资源有限,本系统的键盘输入及LCD输出均通过74HC245连接到AT98S51单片机的P0端口,从而实现端口扩展和复用。

4软件设计

系统软件设计采用汇编语言来编写程序。软件模块包括主程序(AT89S51初始化、AD9850初始化、LCD初始化)、显示子程序、键盘输入子程序、信号发生与控制子程序4部分组成。信号发生器系统主程序流程。

5结束语

通过严格的实验测试证明,本系统采用DDS完全可以实现输出信号类型的选择设置、信号频率数字预置等功能,是一种输出信号频率覆盖宽、信号源分辨率高、波形失真小的函数信号发生器,具有一定的实用开发价值。

参考文献

[1] 张静,李廷军,刘长茂,郭海燕,基于DDS的高分辨率信号发生器的实现[J],现代电子技术,2004,14.

[2] 张毅刚,彭喜元,孟升卫, MCS-51单片机实用子程序设计, 哈尔滨工业大学出版社,2003

[3] 高泽溪,高成,直接数字频率合成器(DDS)及其性能分析,北京航空航天大学学报,1998(10).

作者简介:

张天鹏,男,(1981-),河南开封人,讲师,从事高校机电专业教学研究

魏蔚,女,(1981-),河南开封人,讲师,从事高校计算机专业教学研究

篇5:基于DDS技术的声纳信号模拟器

本文旨在研制适用于某种高分辨率成像声信号模拟器。该成像声纳接收声基阵采用48元等间隔线阵,工作频率800kHz,作用距离0.5~25米,角度分辨率为0.35°。成像声纳对接收基阵信号进行波束形成,从而实现声图像的获取。声纳波束形成的基本原理如图1所示。

(本网网收集整理)

图1是远场时等间隔线阵接收回波信号的示意图。入射声波与基阵法线方向成θ角平行入射,基元从左至右顺序编号为1、2、…i、t+1、…N,基元间距为d。如果选取1号基元为时间参考点,其接收到的信号为Acos2πft,那么相邻两个基元间存在声程差Δ=dsinθ,因此第i个基元接收到的信号为:

si(t)=Acos{2πf[t+(i-1)dsinθ/c]} (1)

其中c为声速。由于成像声纳是窄带主动声纳,所以I基元与1号基元接收信号间的相位差是φi=2π(i-1)d/λsinθ,其中λ为波长。因此要想使线阵定向在θ0方向上,只需将第i个基元的信号延时τi(θ0)=2π(i-1)d/λsinθ0即可。

以上是线阵波束形成的基本原理,但这只是远场情况下的近似。对于近场条件,这样的近似产生的误差会很大。对于本文中的高频成像声纳,由于全部工作范围均属近场条件,所以波束形成时必须采用聚焦方法。其基本原理同上,只是对每个基元信号进行的延迟(或移相)不成线性关系,本文对此不做详述。

1.2 声纳信号模拟器原理

用于成像声纳的信号模拟量一般通常数与基元个数相同,每个通道的输出模拟声纳基阵中一个基元的信号。由于成像声纳工作距离较近,并且水声环境中高频段的噪声级很低,因而接收信噪比通常较高。出于这样的考虑,信号模拟器的输出中就不额外加入噪声。成像声纳工作在较强的混响环境中,由于混响的模拟比较困难,并且对成像的影响并不严重,因而在设计中也不考虑对混响的`模拟,只专注于模拟近场目标回波。

根据用户输入的要模拟的点目标的方位和距离,信号模拟器计算出相应的目标回波到达接收基阵各个基元的相位差,然后按照这些相位差产生相应的多路正弦信号。将这些信号加到成像声纳的输入端,代替真实的基阵输出,这样就可在陆上试验室条件下方便地对成像声纳进行调试和测量。

1.3 传统声纳信号模拟器的缺陷

传统的声纳信号模拟器通常采用一个固定的振荡器产生与声纳系统工作频率相同的正弦信号。将本振信号通过一组多抽头模拟延迟线,然后从延迟线的不同抽头中引出信号作为模拟器的输出。这种信号模拟器结构存在若干缺陷和不足。

首先,由于采用模拟器件构成抽头延迟线结构,最小可变延迟长度受限。尤其是考虑到系统硬件规模和成本,一般延迟线的抽头数目不多,这样就造成延迟时间和理论值之间存在较大误差,从而降低了模拟器的精度。

其次,为了实现对不同方位目标回波信号的模拟,就必将不同抽头延迟线的输出进行切换或组合,然后作为一个基元的信号输出到声纳设备。因此整个模拟器的规模庞大,且只能模拟若干个离散方位和距离上的目标,不能实现对任意方位距离上点目标回波的模拟,否则复杂度不增将难以实现。

另外,使用模拟器件构成的抽头延迟线,其通道一致性难以保证,调试困难。且延迟线频率范围较窄,如果频率参数发生变化将不能正常使用,因此适用范围窄,性价比很低。

篇6:函数信号发生器论文

系别:电子工程系 专业:应用电子技术 届:XX届 姓名:XXX 摘 要

本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。

关键词 ICL8038,波形,原理图,常用接法

一、概述

在电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域,经常需要用到各种各样的信号波形发生器。随着集成电路的迅速发展,用集成电路可很方便地构成各种信号波形发生器。用集成电路实现的信号波形发生器与其它信号波形发生器相比,其波形质量、幅度和频率稳定性等性能指标,都有了很大的提高。

二、方案论证与比较

2.1·系统功能分析

本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案:

2.2·方案论证

方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。

方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率 相信都很难控制。

方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300KHz。

三、系统工作原理与分析

3.1、ICL8038的应用

ICL8038是精密波形产生与压控振荡器,其基本特性为:可同时产生和输出正弦波、三角波、锯齿波、方波与脉冲波等波形;改变外接电阻、电容值可改变,输出信号的频率范围可为0.001Hz~300KHz;正弦信号输出失真度为1%;三角波输出的线性度小于0.1%;占空比变化范围为2%~98%;外接电压可以调制或控制输出信号的频率和占空比(不对称度);频率的温度稳定度(典型值)为120*10-6(ICL8038ACJD)~250*10-6(ICL8038CCPD);对于电源,单电源(V+):+10~+30V,双电源(+V)(V-):±5V~±15V。图1-2是管脚排列图,图1-2是功能框图。8038采用DIP-14PIN封装,管脚功能如表1-1所示。

3.2、ICL8038内部框图介绍

函数发生器ICL8038的电路结构如图虚线框内所示(图1-1),共有五个组成部分。两个电流源的电流分别为IS1和IS2,且IS1=I,IS2=2I;两个电压比较器Ⅰ和Ⅱ的阈值电压分别为 和,它们的输入电压等于电容两端的电压uC,输出电压分别控制RS触发器的S端和 端;RS触发器的状态输出端Q和 用来控制开关S,实现对电容C的充、放电;充点电流Is1、Is2的大小由外接电阻决定。当Is1=Is2时,输出三角波,否则为矩尺波。两个缓冲放大器用于隔离波形发生电路和负载,使三角波和矩形波输出端的输出电阻足够低,以增强带负载能力;三角波变正弦波电路用于获得正弦波电压。

3.3、内部框图工作原理

★当给函数发生器ICL8038合闸通电时,电容C的电压为0V,根据电压比较器的电压传输特性,电压比较器Ⅰ和Ⅱ的输出电压均为低电平;因而RS触发器的,输出Q=0,;

★使开关S断开,电流源IS1对电容充电,充电电流为

IS1=I

因充电电流是恒流,所以,电容上电压uC随时间的增长而线性上升。

★当上升为VCC/3时,电压比较器Ⅱ输出为高电平,此时RS触发器的,S=0时,Q和 保持原状态不变。

★一直到上升到2VCC/3时,使电压比较器Ⅰ的输出电压跃变为高电平,此时RS触发器的 时,Q=1时,导致开关S闭合,电容C开始放电,放电电流为IS2-IS1=I因放电电流是恒流,所以,电容上电压uC随时间的增长而线性下降。

起初,uC的下降虽然使RS触发的S端从高电平跃变为低电平,但,其输出不变。

★一直到uC下降到VCC/3时,使电压比较器Ⅱ的输出电压跃变为低电平,此时,Q=0,使得开关S断开,电容C又开始充电,重复上述过程,周而复始,电路产生了自激振荡。

由于充电电流与放电电流数值相等,因而电容上电压为三角波,Q和 为方波,经缓冲放大器输出。三角波电压通过三角波变正弦波电路输出正弦波电压。

结论:改变电容充放电电流,可以输出占空比可调的矩形波和锯齿波。但是,当输出不是方波时,输出也得不到正弦波了。

3.4、方案电路工作原理(见图1-7)

当外接电容C可由两个恒流源充电和放电,电压比较器Ⅰ、Ⅱ的阀值分别为总电源电压(指+Vcc、-VEE)的2/3和1/3。恒流源I2和I1的大小可通过外接电阻调节,但必须I2>I1。当触发器的输出为低电平时,恒流源I2断开,恒流源I1给C充电,它的两端电压UC随时间线性上升,当达到电源电压的确2/3时,电压比较器I的输出电压发生跳变,使触发器输出由低电平变为高电平,恒流源I2接通,由于I2>I1(设 I2=2I1),I2将加到C上进行反充电,相当于C由一个净电流I放电,C两端的电压UC又转为直线下降。当它下降到电源电压的1/3时,电压比较器Ⅱ输出电压便发生跳变,使触发器输出为方波,经反相缓冲器由引脚9输出方波信号。C上的电压UC,上升与下降时间相等(呈三角形),经电压跟随器从引脚3输出三角波信号。将三角波变为正弦波是经过一个非线性网络(正弦波变换器)而得以实现,在这个非线性网络中,当三角波的两端变为平滑的正弦波,从2脚输出。

其中K1为输出频段选择波段开关,K2为输出信号选择开关,电位器W1为输出频率细调电位器,电位器W2调节方波占空比,电位器W3、W4调节正弦波的非线性失真。

图1-1

3.5、两个电压比较器的电压传输特性如图1-4所示。

图1-4

3.6、常用接法

如图(1-2)所示为ICL8038的引脚图,其中引脚8为频率调节(简称为调频)电压输入端,电路的振荡频率与调频电压成正比。引脚7输出调频偏置电压,数值是引脚7与电源+VCC之差,它可作为引脚8的输入电压。如图(1-5)所示为ICL8038最常见的两种基本接法,矩形波输出端为集电极开路形式,需外接电阻RL至+VCC。在图(a)所示电路中,RA和RB可分别独立调整。在图(b)所示电路中,通过改变电位器RW滑动的位置来调整RA和RB的数值。

图1-5

当RA=RB时,各输出端的波形如下图(a)所示,矩形波的占空比为50%,因而为方波。当RA≠RB时,矩形波不再是方波,引脚2输出也就不再是正弦波了,图(b)所示为矩形波占空比是15%时各输出端的波形图。根据ICL8038内部电路和外接电阻可以推导出占空比的表达式为

故RA<2RB。

为了进一步减小正弦波的失真度,可采用如图(1-6)所示电路,电阻20K与电位器RW2用来确定8脚的直流电压V8,通常取V8≥2/3Vcc。V8越高,Ia、Ib越小,输出频率越低,反之亦然。RW2可调节的频率范围为20HZ20~KHZ。V8还可以由7脚提供固定电位,此时输出频率f0仅有Ra、Rb及10脚电容决定,Vcc采用双对电源供电时,输出波形的直流电平为零,采用单对电源供电时,输出波形的直流电平为Vcc/2。两个100kΩ的电位器和两个10kΩ电阻所组成的电路,调整它们可使正弦波失真度减小到0.5%。在RA和RB不变的情况下,调整RW2可使电路振荡频率最大值与最小值之比达到100:1。在引脚8与引脚6之间直接加输入电压调节振荡频率,最高频率与最低频率之差可达1000:1。

3.7、实际线路分析

可在输出增加一块LF35双运放,作为波形放大与阻抗变换,根据所选择的电路元器件值,本电路的输出频率范围约10HZ~20KHZ;幅度调节范围:正弦波为0~12V,三角波为0~20V,方波为0~24V。若要得到更高的频率,还可改变三档电容的值。

图1-6

表 1-1 ISL8038管脚功能

管 脚 符 号 功 能

1,12 SINADJ1,SINADJ2 正弦波波形调整端。通常SINADJ1开路或接直流电压,SINADJ2接电阻REXT到V-,用以改善正弦波波形和减小失真。SINOUT 正弦波输出TRIOUT 三角波输出

4,5 DFADJ1,DFADJ2 输出信号重复频率和占空比(或波形不对称度)调节端。通常DFADJ1端接电阻RA到V+,DFADJ2端接RB到V+,改变阻值可调节频率和占空比。V+ 正电源 FMBIAS 调频工作的直流偏置电压FMIN 调频电压输入端SQOUT 方波输出 C 外接电容到V-端,用以调节输出信号的频率与占空比V-负电源端或地

13,14 NC 空脚

四、制作印刷电路板

首先,按图制作印刷电路板,注意不能有断线和短接,然后,对照原理图和印刷电路板的元件而进行元件的焊接。可根据自己的习惯并遵循合理的原则,将面板上的元器件安排好,尽量使连接线长度减少,变压器远离输出端。再通电源进行调试,调整分立元件振荡电路放大元件的工作点,使之处于放大状态,并满足振幅起振条件。仔细检查反馈条件,使之满足正反馈条件,从而满足相位起振条件。

制作完成后,应对整机进行调试。先测量电源支流电压,确保无误后,插上集成快,装好连接线。可以用示波器观察波形发出的相应变化,幅度的大小和频率可以通过示波器读出。

五、系统测试及误差分析

5.1、测试仪器

双踪示波器 YB4325(20MHz)、万用表。

5.2、测试数据

基本波形的频率测量结果

频率/KHz

正弦波 预置 0.01 0.02 2 20 50 100

实测 0.0095 0.0196 2.0003 20.0038 50.00096 100.193 方波 预置 0.01 0.02 2 20 50

实测 0.095 0.0197 1.0002 2.0004 20.0038 三角波 预置 0.01 0.02 1 2 20 100

实测 0.0095 0.0196 1.0002 2.0004 20.0038 100.0191 5.3、误差分析及改善措施

正弦波失真。调节R100K电位器RW4,可以将正弦波的失真减小到1%,若要求获得接近0.5%失真度的正弦波时,在6脚和11脚之间接两个100K电位器就可以了。

输出方波不对称,改变RW3阻值来调节频率与占空比,可获得占空比为50%的方波,电位器RW3与外接电容C一起决定了输出波形的频率,调节RW3可使波形对称。

没有振荡。是10脚与11脚短接了,断开就可以了

产生波形失真,有可能是电容管脚太长引起信号干扰,把管脚剪短就可以解决此问题。也有可能是因为2030功率太大发热导致波形失真,加装上散热片就可以了。

5.4、调试结果分析

输出正弦波不失真频率。由于后级运放上升速率的限制,高频正弦波(f>70KHz)产生失真。输出可实现0.2V步进,峰-峰值扩展至0~26V。

图1-2

图 1−7

六、结论

通过本篇论文的设计,使我们对ICL8038的工作原理有了本质的理解,掌握了ICL8038的引脚功能、工作波形等内部构造及其工作原理。利用ICL8038制作出来的函数发生器具有线路简单,调试方便,功能完备。可输出正弦波、方波、三角波,输出波形稳定清晰,信号质量好,精度高。系统输出频率范围较宽且经济实用。

七、参考文献

【1】谢自美《电子线路设计.实验.测试(第三版)》武汉:华中科技大学出版社。2000年7月

【2】杨帮文《新型集成器件家用电路》北京:电子工业出版社,2002.8

【3】第二届全国大学生电子设计竞赛组委会。全国大学生电子设计竞赛获奖作品选编。北京:北京理工大学出版社,1997.【4】李炎清《毕业论文写作与范例》厦门:厦门大学出版社。2006.10

篇7:函数信号发生器设计论文.

前言.....................................................................1 1 函数信号发生器设计任务................................................1 1.1 设计提议...........................................................1 1.2 方案论证与研究.....................................................1 2 方案设计..............................................................2 2.1 项目指标...........................................................2 2.1.1 电源参数.......................................................2 2.1.2 工作频率.......................................................2 2.2 方案比较及选择.....................................................2 3 设计理论..............................................................3 3.1 函数发生器的结构组成...............................................3 3.2 方波信号...........................................................3 如图3.2-1由运算放大器和电容积分电路、Rf组成的,输出电压最终反馈到运

放反相输出端,因此积分电路有负反馈和延迟的作用。........................3 3.3 正弦波信号.........................................................4 3.4 三角波信号.........................................................6 4 RC振荡电路设计........................................................7 5 放大器功率及ICL8038介绍...............................................9 5.1 放大器功率.........................................................9 5.2 ICL8038原理介绍...................................................10 6 致谢..................................................................11 7 总结及体会............................................................12 附录1 系统原理图.......................................................13 附录2 系统元件清单.....................................................14 附录3 系统PCB图.......................................................15 I 四川师范大学成都学院通信原理课程设计 参考文献................................................................16 II 四川师范大学成都学院通信原理课程设计 函数信号发生器设计论文

前言

函数信号发生器的制作是以集成块ICL8038为核心器件,制作的成本也相对较低。是适合学生学习、使用电子技术测量。ICL8038可以输出具有多种波形的精

密振荡集成电路,要想产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号只需要个别外部元件。输出波形的占空比和频率还可以由电阻或电流控制。其次由于此芯片具有调制信号的输入端,所以可以用作频率调制,针对于低频信号。

函数信号发生器有着不同的用途,其电路中使用的器件是分离器件的可以产生三种或多种波形的函数发生器;而产生正弦波、方波、三角波也有多种方案,是集成器件电路,如先产生正弦波,根据其周期性内部某种确定的函数关系,再将正弦波通过整形电路转化为方波,最后三角波通过积分电路形成。也可以先产生方波或三角波,再将方波或三角波转化成正弦波。随着电子技术日益发展,新器材、新材料越发渐好,随着期间可选性的增加,函数信号发生器开发出更多的新款式,比如在技术上很可靠的产生正弦波、三角波、方波的主芯片ICL8038。所以,可以选择多种多样的方案,原则上是可行的。1 函数信号发生器设计任务 1.1 设计提议

产品开发、工业生产、科学研究等领域都的使用函数信号发生器,它常用的基本测试信号有锯齿波和正弦波、矩形波、三角波。常作为时基电路的锯齿波信号在示波器等仪器中利用荧光屏显示图像。例如,想要通过示波器荧光屏上观察到被测不失真地信号波形,通过产生锯齿波电压使的电子束在水平方向匀速搜出荧光屏。方波,三角波都有着不同的重要作用,而函数信号发生器是指一种能自发的产生方波、正弦波、三角波和锯齿波阶梯波等电压波形的仪器或电路。因此,提议设计一种能产生三角波、正弦波、方波的函数信号发生器。1.2 方案论证与研究

函数信号发生器用途较多,其电路中使用的器件是分离器件的可以产生三种或多种波形的函数发生器;而产生正弦波、方波、三角波也有多种方案,是集成器件电路,如先产生正弦波,根据其周期性内部某种确定的函数关系,再将正弦波通过整形电路转化 四川师范大学成都学院通信原理课程设计 为方波,最后三角波通过积分电路形成。也可以先产生方波或三角波,再将方波或三角波转化成正弦波。随着电子技术日益发展,新器材、新材料越发渐好,随着期间可选性的增加,函数信号发生器开发出更多的新款式,比如在技术上很可靠的产生正弦波、三角波、方波的主芯片ICL8038。所以,可以选择多种多样的方案,原则上是可行的。2 方案设计

2.1 项目指标 2.1.1 电源参数

● 输入:双电源 +12V、-12v

● 输出:方波电压约等于12v,三角波电压与约等于5v,正弦波电压大于1v,幅 度可连续调,线性失真就会较小。2.1.2 工作频率

频率范围:10HZ~100HZ,100HZ~1000HZ 2.2 方案比较及选择

方案一:正弦振荡是由文氏电桥产生,然后得到方波,三角波是方波积分得到的。此方案结构简单,是一开环电路,产生的失真较小的正弦波和方波波形①。但于产生三角波则比较有麻烦,因为频率覆盖系数要求有1000倍,因此对于1000倍的频率变化会有积分时间从而使输出电压振幅的1000倍变化。而这是不满足电路要求的。幅度的稳定性几乎难以达到要求。并且通过仿真实验会发现积分器极易产生线性失真。

方案二:通过芯片ICL8038产生8083集成函数发生器。

该集成函数发生器是一种用途较多的波形发生器,可以产生方波、正弦波、三角波和锯齿波,通过外加的直流电压进行振荡器调节,所以是电压控制集成信号产生器。由于两个电流源控制外接电容C的充、放电电流,所以电容C两端电压大小变化与时间成线形关系,从而可以输出理想的三角波波形。8038电路中含正弦波变换器,因此可以将三角波转化成正弦波输出。另外还可以将三角波转换成方波输出通过触发器。此方案的特点有: ◆ 稳定性好而且线性良好;

◆ 易调频率,频带在几个数量级范围内,可以方便地、连续地改变频率大小,而且 四川师范大学成都学院通信原理课程设计 ◆ 变频率的同时,幅度是不会发生变的;

◆ 不会出现过渡过程,只要接通电源后就会立即产生稳定的波形图; ◆ 方波和三角波在半周期内的时间是线性函数,容易转换为别的波形。故由此,本次信号设计采用的是第二种方案。3 设计理论

3.1 函数发生器的结构组成

函数发生器是指能够自动产生方波、正弦波、三角波的电压波形的仪器或电路。可以采用由运放、分离元件及单片集成函数发生器构成电路形式。根据不同的用途,可以产生三种或多种不同波形的函数发生器,本次介绍的事不同函数信号发生器的方法。

函数信号发生器是由正弦波形发生电路和基础的非正弦信号发生电路组合成的。下面我们将分别对方波、正弦波、三角波的发生进行分析,从而使在合成电路时电路更加的合理。3.2 方波信号

如图3.2-1由运算放大器和电容积分电路、Rf组成的,输出电压最终反馈到运放反相输出端,因此积分电路有负反馈和延迟的作用。

图3.2-1 运算放大电路

电路如图3.2-2所示,在接通电源时,电容两端的电压为零,且输出电压等于UZ,所以运放同相输出端的电压uP=UzR2=UZF。R1+R2 3 四川师范大学成都学院通信原理课程设计 此时uO=UZ向C充电,使运放反相端输入电压uN不断上升。在uN小于uP以前,uO=UZ不变。在t=t1时,uN逐渐上升到略高于uP,使uO从高电平跳到低电平,变为-UZ。

此时通过Rf向C充电,使运放反相输入端的电压uNuP=-UZF,uO=-UZ时,逐渐增加。在uN大于uP以前,uO=-UZ大小保持不变。在t等于t2时,uN减小到稍低于uP,则uO从低电平跳到高电平,变为UZ,又回到最初状态。如此重复,循环,从而产生振荡,并输出方波。

根据上面的分析,从而可以画出如下图uO与uC的波形:

图3-2-2 uO与uC的波形

有图波形,并取适当的R1、R2值,F=R2(R1+R2),则T=2RfC,得到振荡频率为:

3.3 正弦波信号

即又被称为文氏电桥振荡器,如图3-3-1所示其中是由同相运放电路组成的A放大器,如图3.3-1,Av= VoR=(2+1)VdR1f0=11=T2CRf 4 四川师范大学成都学院通信原理课程设计

图3.3-1 文氏电桥振荡电路 图3.3-2 同相运放电路

由RC串并联组成网络F,因为运放的输入阻抗较大,所以输出阻抗Ro就很小,对网络F几乎没有影响影响,故忽略不计,根据图3.3-3得 R VfjωRC+1Fv==1RVo++RjωC1+jωRC =R 1(jωRC+1+R)+RjωC=R1j(ωR2C-)+3RωC 5 四川师范大学成都学院通信原理课程设计 根据自激振荡条件: AF =T=1故有AvFv=AvR=1 因此上式中分母12j(ωRC-)+3RωC 中的虚部必须等于零,即 R2Cw-1=0 ωC ⇒振荡频率ω0=1 CR

上式中实部为1,所以起振条件Av=3 图3.3-2是同相运放,Av=R2+1 须满足条件2R1=

R2 R1 图3.3-3 RC串并联

3.4 三角波信号

根据RC的积分电路输出和输入信号波形的关系可得,当输入信号是方波时,则输出的信号便是三角波,由此可知,三角波信号发生器是由RC积分电路和方波信号发生器组成。下图3-2-3便是三角波信号发生器的电路组成。图中的方波信号发生器是由A1运算放大器组成,RC积分电路是由A2组成。该电路的设计原理是:由方波信号发生器输出方波。反相积分电路由图中A1,A2和C、R4等组成。

分析可以画出uO1和uO的波形,如图3.4-1所示。6 四川师范大学成都学院通信原理课程设计

图3.4-1 uO1和uO的波形

电压uO的上升和下降幅度和时间变量相等,而且上升和下降的斜率的绝对值大小也相等。三角故波uO峰值为:

Uom= UZR2 R1 4R1R4C R2 则在调整三角波电路时,R1或R2应被先调整,使峰值达到所需要的值,最后再调整故振荡周期: T=2(t2-t1)=R4或C,使频率f0能满足要求。4 RC振荡电路设计

RC振荡器电路的设计,就是根据给出的指标要求,选择适合的电路结构形式,并确定和计算电路中各元件的参数,在所要求的频率范围内使它们满足振荡的条件,使电路产生正弦波形。RC振荡器的设计的步骤为:

● 根据已知的指标参数,选择适合的电路形式。● 计算并确定电路中的各元件参数。● 选择运算放大器

● 为满足电路指标要求可通过调试。四川师范大学成都学院通信原理课程设计

例如:设计一个振荡频为800Hz的RC正弦波振荡器。设计步骤如下: 计算并确定电路中的各元件参数。● RC的值可根据振荡器的频率计算。RC= ● 确定R和C的值 1=1.99⨯10-4(s)2πf0

为了使选频网络不受运算放大器输入和输出电阻的影响。按:Ri >> R >> R0的关系确定R的值。其中:运算放大器同相端的输入电阻Ri。为运算放大器的输出电阻R0。

当R=20kΩ时,则:

1.99⨯10-4-7C==0.995⨯10F 320⨯10 ● 确定R3和Rf 的值(Rf=R4+Rw+rd//R5)根据振荡的振幅条件,Rf应大于2R3,取Rf=2.01R3。从而减小波形失真。此外,为了满足R等于R3并联Rf的直流平衡条件,并减小运放输入失调的影响。

由Rf=2.01R3和R=R3//Rf可求出:

R3= 取整数值: R3=30k Ω

所以:Rf=2.01R3=2.01⨯30⨯103Ω=60.3kΩ.为了是效果更好, Rf与R3的值还可以通过实验调整后确定。● 确定其元件值及电路。

电路由R5和接法相反的二极管D1、D2并联而成。

二极管D1、D2 应选用其元件值硅管,因其温度稳定性较高。当然二极管D1、D2的特性必须保持一致,以确保输出波形的正负半轴对称。● R2与R5确定

由于二极管的非线性会导致波形失真,因此,可在二极管的两端并上一个阻值与rd相近的电阻R5。用来减小非线性失真,然后再经过调整,达到最好效果。便可确定R5,再计算出R2。为了是效果更加明显,电阻 R2可用50kΩ电阻和40 kΩ的电位器串联。● 运放型号的选择

运放选择,要求输入高阻、输出低阻,而且满足增益带宽积:Auo• BW 大于3fo 的 3.13.1⨯20⨯103=29.8⨯103Ω R =2.012.01 四川师范大学成都学院通信原理课程设计

条件。因为fo=800Hz,所以选择μA741集成运算放大器。5 放大器功率及ICL8038介绍 5.1 放大器功率

由多级放大器组成的便是电子电路。在工作过程中,电压放大是由小信号放大电路对输入信号进行的,再通过功率放大电路将功率放大,以便于控制或驱动负载电路工作。功率放大器就是以功率放大为目的的电路。低频功率放大器也称为功率放大器,是能使低频信号功率放大的放大器。

如图5.1-1 OTL 低频功率放大器所示。其中由晶体三极管T1组成前置放大级(也称推动级),T2、T3是一组参数对称的PNP和NPN型晶体三极管,它们组成OTL功放电路。射极输出器形式是由每一个管子接成的,因此输出电阻低,负载能力较强等优点,适合功率输出级。甲类状态由T1管工作,此集电极电流IC1是通过电位器RW1进行调节。IC1 的一部分流经二极管D及电位器RW2,给T2、T3提供电压。通过调节RW2,可以使T2、T3在甲、乙类状态得到合适的静态电流,以克服失的一端,因此可在电路中引入交、直流电压并联负反馈,一方面改善了非线性失真,同时也能够稳定放大器的静态工作点。R和C2构成用于提高输出电压正半周的幅度自举电路,从而得到较大的动态范围。C2和R 构成自举电路,用于提高输出电压正半周的幅度,以得到大的动态范围。主要性能指标是OTL 电路。

在输出功率P0m的最大不失真理想情况下,在实验中可测量RL 两端的电压有效值通过计算来得实际的

其中由晶体三极管T1组成前置放大级(也称推动级),T2、T3是一对参数对称的NPN和PNP型晶体三极管,互补推挽的OTL功放电路就由它们组成。由于射极输出器形式是每一个管子连接成的,因此具有输出低电 阻,负载能力较强等优点,适合作用于功率输出级。甲类状态T1管工作,通过调节电位器RW1来调节它的集电极电流IC1。IC1 的一部分流经二极管D及电位器RW2,给T2、T3提供偏电压。为甲、乙类状态在T2、T3得到合适的静态电流,可通过调节RW2来实现,从而又由于RW1的一端接在A点,因此在电路中引入交、直流电压并联负反馈,一方面能够稳定放大器的静态工作点,同时也改善了非线性失真。9 四川师范大学成都学院通信原理课程设计

图5.1-1 OTL 功率放大器实验电路 5.2 ICL8038原理介绍

芯片ICL8038是单片集成函数发生器,如图5-3s所示为其内部原理电路框图。ICL8038由恒流电流源I1、I2,触发器和电压比较器C1、C2等组成。电压比较器C1的门限电压为2VR/

3、的为VR(VR= VEE+VCC),可通过调节外接电阻确定电流源I1和I2的大小,并且I2必须大于I1。当触发器Q端输出电平低时,I2通过开关S的控制从而使电流源断开。而电流源I1向外接电容C充电,电压随时间变化线性下降,当其下降到小于VC时,比较器C2输出发生跳变,当VC上升到2VR/3时,比较器C1输出波形会发生跳变,从而使触发器输出端Q由低电平变为高电平,电流源I2接通通过控制开关S。当其上升和下降时间相等时,产生的波形输出到引脚3,而触发器输出的波形经缓冲器输出到引脚9。三角波由正弦波变换器变成正弦波后由引脚2输出。由此知ICL8038能输出三角波、方波和正弦波等三种及三种以上的不同波形。其中,外部接入振荡电容C,它是通过内部两个恒流电源来完成充电、放电的过程。恒流源2的工作状态是由恒流源1对电容器C持续充电,并增加电容电压,从而达到改变比较器的状态改变、输入电平以及带动触发器翻转来连续控制的。当触发器使恒流源2处于关闭状态,电容电压值是比较器1输入电压规定值的2/3倍时,比较器1的状态发生改变,使触发器的工作状态发生翻转,此时将模拟开关K由B接到A点。因为恒流源2的电流值为2I,比恒流源1大,所以电容器处于放电状态,在单位时间内电容器端电压将将发生改变,为线性下降,当电容电压值下降到比较器2的输入电压规定值的1/3倍时,比较器2状态发生改变,使触发器再次翻转到原来的状态,周而复始的完成此振荡过程。四川师范大学成都学院通信原理课程设计 根据以上分析,上述基本电路中很容易获得3种函数信号,倘若电容器在放电过程和在充电过程的时间常数相等,而且是在电容器充放电时,那么电容电压输出的就是三角波函数,从而三角波信号由此获得。因为触发器的工作状态也是由电容电压的充放电的过程决定的,因此,触发器的状态通过翻转,就能够产生方波函数信号,在芯片内部结构中,这两种信号经过缓冲器功率的放大,并从管脚3和管脚9输出可得。满足方波函数等信号在频率、占空比调节的全部范围可适当的选择外部电阻RA和RB和C。所以,对两个电流源在I和2I电流不等的情况下,可以从最小到最大范围中循 环调节,并任意选择调整,因此,只需要使电容器充放电时间不相等,便可获得锯齿波等函数信号。

图5.2-1 内部原理电路框图 6 致谢

本课题在选题以及研究过程是在孙活老师的亲切关怀和悉心指导下完成的。老师们多次询问研究设计进程,并为我悉心指点迷津,帮助我开拓思路,耐心点拨、鼓励。老师们严谨细致、一丝不苟的工作作风,严谨求实的态度,踏踏实实的精神,不仅授我以文,而且教我做人,虽历时三载,却给以终生受益无穷之道。对老师的感激之情是无法用言语表达的。感谢带过我的老师对我的教育培养。他们细心指导我的学习与研究,从课题的选择到项目的最终完成,老师们都始终给予我细心的指导和不懈的支持。在此,我要向诸位老师深深地鞠上一躬并致以诚挚的谢意和崇高的敬意。

在此,我还要感谢我的5位室友,正是有你们的帮助、理解和支持,我才能克服一个一个的困难,直至顺利的完成本文。当然也缺少不了一起愉快度过三年的大

学同学,他们给与我帮助,支持,我在此也由衷的表示感谢。最后我还要感谢含辛茹苦的把培养 四川师范大学成都学院通信原理课程设计 我长大的父母,谢谢您们!7 总结及体会

通过本次课程设计,加强了我们的思考、动手和解决问题的能力,经常会遇到不同的情况,心里总想着这样的接法或许可以行得通,但实际接上电路后才发现不对,实现不了预想的效果,因此耗在这上面的时间用的比较多。

我觉得做课程设计的同时也巩固和加强了课本知识,由于课本上的知识太多而且零散,平时课间的学习也并不能很好的理解并运用各个元件的功能,考试内容又比较有限,因此在这次课程设计过程中,我了解了很多元件的功能以及使用。平时看课本学习书本知识时,有时问题总是弄不懂,可做完设计,那些不是问题的问题就迎刃而解了。甚至还记住很多东西,受益匪浅。如一些芯片的功能及作用,平时看课本讲解,看一次忘一次,没从根本上理解。通过这次动手实践让我对各个元件印象深刻。所以认识、了解来源于实践,实践才是认识的动力和最终目的,实践出真理。所以这次的设计对我的学习和帮助作用都非常大的。

通过该次设计,在理论学习时,很少会有实践的机会,但我们学院可以,而且设计制作也是一个团队的任务!一起的学习工作中可以让我们团结一致,相互帮助,默契配合,多少欢乐在这里洒下。我认为我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结合作的精神。这次实验设计必将成为我人生旅途上的一个非常美好的回忆!

通过对此课程设计是我认识到,电路设计需要我们耐心,需要缜密的整套思维逻辑,要求我们学会分析。懂得只有理论知识是远远不够的,只有将理论和实践结合起来才能顺利完成。我期盼在今后的学习过程中能让学生更加的接近器材,独立完成很多知识不能只看表面,要深究其真正作用才行,需要不断积累经验。所以说,坐而言不如立而行,对于这些电路还是得自己亲自动手才能印象深刻。这次的课程设计终于顺利完成了,在设计中也遇到了很多专业知识问题,最后通过老师的辛勤指导,终于迎刃而解了。经过老师的悉心指导,我们学也到了很多实用的知识,在次我表示深深感谢!同时,对给过我帮助和支持的所有同学及各位指导老师再次表示忠心的感谢!四川师范大学成都学院通信原理课程设计 附录1 系统原理图

图1 系统原理图 四川师范大学成都学院通信原理课程设计 附录2 系统元件清单 四川师范大学成都学院通信原理课程设计 附录3 系统PCB图

图2 信号发生器图 四川师范大学成都学院通信原理课程设计 参考文献

篇8:基于DDS的信号发生器

如果你与喜欢自己动手的业余爱好者探讨什么测试设备是最需要的, 可能会得到很多答案, 多数人会认为信号发生器是最需要的。对我自己而言, 我会把VOM和示波器放在前面, 而把信号发生器放在第三位。

如今, 大多数进行实验的爱好者都会采用旧的、剩余的商业设备, 这些设备相对其原始价格而言非常便宜且性能优良。我希望在信号发生器上能实现我所需要的功能, 但是, 信号发生器的价格比我期望的要贵, 而且很难符合我的要求。

我所需要的信号发生器的功能包括:

1) 良好的频率稳定性;

2) 低相位噪声;

3) 频率的准确性为1Hz或有更好的性能;

4) 精确的输出电平;

5) 内置RF检波器具有良好的准确性;

6) 有用于控制和数据通信的计算机接口;

7) 能够产生扫频信号并显示滤波器的响应。

直接数字合成技术 (DDS) 的出现, 极大地增加了业余实验者做出与实验室相同质量的、稳定、准确的信号源的可能性。最近, 汤姆·奥尔德雷德 (VA7TA) 开发了基于DDS的模块。它在提供信号发生器功能的基础上, 能够满足我所有的要求。此外, 它还提供了两个独立的射频输出, 大大增强了通用性。

在详细介绍我的设计之前, 请允许我先列出DDS的一些属性, 这使得DDS更适合应用于信号发生器。

1) 频率的准确性和精度;

2) 输出电平精度;

3) 调节信号的幅度和频率的能力非常精确。

频率的精度取决于最小的频率步长, 这通常要求步长小于1Hz。这些输出频率的绝对精度是由时钟源信号唯一决定的。事实上, 输出波形的幅度是由数模转换器决定的, 这意味着所能够设置的输出幅度的精确度是由在DDS电路内部中的D/A模块的比特位数和该模块的线性度共同决定的。在《QEX》杂志NS3讨论文章中, 汤姆·奥尔德雷德最后讨论了所产生的调幅信号和调频信号的精度。

对于信号源来说, 使用DDS有个缺点, 那就是频率的上限是由特定的DDS电路和它的最高时钟频率决定的。现代商用DDS集成电路时钟信号的上限频率约为1GHz, 这导致最大的抗混叠输出频率约400MHz。NS3使用500MHz的时钟信号, 因此, 其频率上限为200Hz。对于我所做的大多数实验来说, 这已经足够了。

另外一个存在的缺点是, 在输出的信号中, 存在杂散信号, 汤姆·奥尔德雷德已经在他的文章中对其进行了讨论过。对于NS3来说, 这些杂散信号相对主要的输出信号来说存在至少60dB的衰减。在接收机中如果使用DDS作为本地振荡器, 这些存在的不足之处将会成为一个问题, 然而在信号发生器中, 它们通常不会是很重要的问题。本文不会详细地描述电路图, 而是讨论设计过程中一些必要的细节。

基本信号发生器

一个通用的基于DDS的信号发生器的框图如图1所示。时钟决定了电路的稳定性和信号发生器的绝对精度, 所以, 它的设计是极其重要的。

控制部分提供了人机接口的界面工具, 因此从某些方面来说, 这是项目设计中最重要的部分。例如, 我的工作台空间有限, 所以我不需要一个非常大的工具设备, 尽管它可能有很多的控制功能。而另一方面, 如果限制了旋钮和开关的数量, 可能导致仪器使用上的不便。如果您需要按太多的按钮来更改少许的设置, 将会很快厌烦这个过程。

由于我所期望的信号发生器需要具有内置功率检测器, 同时还必须要记录和显示一些功率参数, 我选择使用64×128像素的图形显示面板, 这使得我能够以图形的方式来显示信号的精度和其他运行情况。

每秒要刷新图象显示很多次, 即使少一些, 也需要一定的处理能力, 因此控制器运行速度必须是相当快的。选择一个微处理器主要考虑的是软件编程的可行性。很多年前, 我开始自己做一些手工配置的第一代8位微处理器的应用, 但是现在我想要一个好的编译器和一个好的开发平台及其所有的附加功能。我选择了使用NXP LPC2138或LPC2148, 这是因为它们质量可靠、专业, 而且价格便宜 (甚至免费!) , 有一些成本非常低的编译开发平台支持它们的编译器 (GNU) , 如罗利协会的CrossWorks (Rowley Associates Crossworks) 软件包。Rowley有这个软件包的完整版本并在一个非常合理的价位上提供给非商业用途需要的人。我不是说吝啬的从来不买原厂开发工具, 我认为其它一些开发系统工具也一样可以用。

Crossworks软件包允许使用JTAG接口进行调试, 这样就可以检测内部寄存器, 并观察在实际的微处理器上运行的程序中的数据的变化。JTAG接口也被用来加载程序到微处理器的flash存储器。这使得设计和调试微处理器固件变的非常方便和简单。

NXP LPC2138/48是一个ARM7处理器, 内部运行在60MHz上, 大多数指令的执行为一个时钟周期, 32K字节的RAM和512K字节的flash程序存储器空间, 使得可以用更好的编程技术来编写一个程序, 而不必因考虑使用了多少内存而受到制约。

这与我曾经使用英特尔8080处理器, 采用1K字节2708的EPROM编写汇编程序时的情况有着天壤之别。

NS3有两个射频输出, 我使用了其中一个电子衰减器。我原本考虑使用高质量专业信号发生器中的手动衰减器, 在eBays上经常可以看到一些价格低廉的有故障的这类信号发生器, 其中的输出衰减器质量和性能都非常好。然而我需要一个电子控制的衰减器, 因为要能够使用要控制器在几种操作模式中设置输出电平。此外, 由于有电子控制衰减器, 你不需要为旋钮和刻度盘留下额外的前面板空间。

现在让我们讨论图1中模块的一些细节。

500MHz时钟模块

NS3中使用的DDS时钟是由内部500MHz振荡器提供的, 其相位锁定到25MHz的温补晶振上 (TCXO) 。汤姆·奥尔德雷德发现, 由于时钟是锁相的, 在室温条件下, 时钟频率处在一个非常准确、稳定的状态。然而人们认为内部时钟比一个良好的外部时钟会产生更多的相位噪声。我决定使用NS3的外部时钟选项。我用W6PQL的套件搭建了一个100MHz的巴特勒振荡器。此振荡器采用了高质量的100MHz谐波晶体, 能够提供一个低相位噪声时钟源。整个时钟电路框图, 包括NS3的模块如图2所示。

振荡器的输出连接到宽带放大器的输入, 该放大器因处于饱和状态而产生100MHz输入信号的谐波, 放大器的输出连接到500MHz螺旋腔体滤波器。滤波器输出的信号经过放大以后得到NS3的内部DDS芯片所需要的+7dBm电平的500MHz时钟信号。图3显示了第一代和第二代放大器所使用的电路, 根据MiniCircuits MAR型号的不同, 偏置电阻的数值也不同。

500MHz的螺旋腔体滤波器的设计为了保持负载的高Q值, 输入和输出采用的是非常松散的耦合电容。由于这种松散的耦合所导致的损耗由第二个放大器进行补偿。

图4显示了安装在铝板上的整个设备。我在逛旧货市场的时候, 发现了大量用于射频功率分配器/连接器的铝盒。我使用这些小的外壳来隔离100MHz的晶振和每个放大器。RG-188A/U电缆和SMB连接器用来进行射频信号的连接。在每个箱子上都能看到大型黄铜螺丝头, 这些螺丝头正好填补未使用的10-32隔离箱上的螺纹孔。NS3的电路板和其中的一个铝盒很适合, 安装在靠近第二个放大器的边上。

DDS模块

该模块恰好就是NS3。“恰好”这个词仅仅是轻描淡写, 因为汤姆·奥尔德雷德已经在使得模拟设备基于AD9958的NS3模块非常简单易用, 同时能灵活应用在射频信号源方面已做了大量的工作。他已通过串行逻辑电平接口控制实现了幅度和频率调制以及模块其他的许多的功能。详细的资料你可以在《QEX》杂志上查到。

衰减器

我经常出没于无线电爱好者的跳蚤市场, 当我在市场上买到了一个价格合理的电子控制的衰减器。它们虽然不常见, 但也决不是非常罕见。在过去的某个时候, 我已经收购了一个外观不错且质量也很好的衰减器。我购买的这个衰减器可能是某种惠普仪器上的。它有一个SMA连接器的输入端和N型连接器的输出端;它的输出端可以连接到一些仪器的面板。它有5个衰减段:10dB、20dB和3个40dB。每一选通端都由连接衰减器旁路电容的锁存继电器控制。

图5显示了的信号发生器的各个部分的电路图。A是主CPU。B是UART接口电路。C显示了连接到前端面板。D显示了图形液晶显示模块和E是外部EEPROM内存。F是键盘和解码器的原理图。G显示了端口扩展部分。

我发现在继电器上+12V接入的是衰减步长, 而–12V则是删除它。事实上, 输入的是SMA型连接器, 这使我考虑到衰减器不仅是为VHF范围的频率设计的, 在波形分析仪上扫描衰减器的特性波形, 发现从4MHz到200MHz范围内的波形都是相当平坦的, 波形平坦得接近直流。

我不再讨论衰减器和ARM7控制器之间的接口设计, 因为可能没有其他人想要复制这个过程。我在面包板上用跳线实现了这个电路, 使用74LS系列逻辑是由于3.3V逻辑电平控制器的输出能够驱动5V逻辑。设计这样的逻辑是为了控制器能控制衰减器的5个输出信号。三条地址线能够选择衰减器5段中的任何一个, 一条选择配置方式 (即+12或-12V输出) , 另一条是选择或者使能这个解码器逻辑。我发现锁存继电器的电压设置要超过50毫秒, 这样才能可靠地切换衰减器的输入或输出, 所以在地址和配置方式线设置后, 选控线要保持50毫秒的高电平信号来驱动锁存继电器。

控制器

Olimex采用NXP LPC2148微处理器设计完成了一个非常小的控制器电路板, 其零件号是LPC-H2148。它是所设计的一个较大的电路板的子板, 我认为我不可能以采购的价格买一块这样的电路板。LPC2148与LPC2138是基本相同的, 除了它有一个内置的USB接口。而我没有用打算使用这个USB接口。

在此子板上我设计了一个主板。主板电路如图5所示, 提供了一个键盘编码器和一个10针的排针连接到其他的信号发生器, 以及一个20针的连接器连接图形液晶显示模块。在使用NS3的情况下, 有SMB连接器连接到串行逻辑电平的I/O口, 所以我将电路板贴片的SMB连接器安装在串联连接的主板上。在低通RC滤波器后有多达8个的前向面板开关。

LPC2138/48有两个异步串型接口, 一个用来与NS3通信, 另一个通过RS-232电平转换器, 连接到后面板上的9针D型连接器与外部计算机或终端通信。

有些人可能会质疑使用独立的键盘编码器, 因为随着微处理器作为控制器, 通常采用软件处理来轮询键盘。然而, 相比较我所做的工作, 本集成电路设计的额外成本并不十分重要, 因此我决定采用软件轮询的方式实现。另一个要考虑的是, 键盘编码器将使用无线控制器 (四个地址线加一个DATA_AVAILABLE) , 而软件轮询需要提供键盘上的8个引脚。

面板的人机界面

系统中的人机交互设计是整个设计中最繁琐和重要的部分。在这里, 我们去繁就简, 对其进行介绍。我记得在很多年前的工作中, 数字程控仪器刚开始出现, 我买了一台质量非常好、非常昂贵的信号发生器, 可设置的频率步长为1Hz。该信号发生器有一个很好的数字显示面板与清楚的数字表盘。但是, 在设置频率时, 你需要首先使用一个旋钮 (旋转编码器) , 点亮具体数字;而变亮的数字随着你旋钮的移动而向左右移动。这允许你选择任何特定数字, 按住一个按钮开关你可以改变该值, 过程与采用旋钮相同。这种控制方法用于设置频率或衰减精度。这似乎是一个非常简洁的方式, 因为这可以节省一些面板空间。但是, 在实际使用中, 这会产生令人难以置信的困难!这与观察和收听一台接收机的输出, 通过接收通带调整信号发生器一样不可能做到。我发现, 我宁愿使用一台旧的, 20世纪50年代老式测量公司的80型信号发生器, 它有两个旋钮, 一个用于频率调谐, 一个用于衰减调整。我的工作台也有80型仪器, 即使HP频率计数器等新型仪表出现, 我也从没放弃使用80型仪器, 虽然它没有非常稳定的频率输出, 但是其稳定度已能满足我所要做的大多数事情的需要。我很少使用昂贵的信号发生器除非是需要超稳定的频率, 在其他的工作时间里, 这台贵重的仪器都是放置在储藏室的架子上。

这件事情的寓意在于, 对于用户来说方便性是极其重要的, 具有压倒性的。对于设备而言, 最重要的是成为一个有用的工具。

在本设计中, 我决定用键盘来设置频率。图6是一个键盘的特写。如要设置52050100Hz的频率, 你需要按数字键, 所按下的数值以大的数字形式显示在图形显示器上。然后按下“Hz”按钮, 然后根据你想使用的NS3的两个输出之一, 选择“A”或“B”。为了从输出B端得到50MHz频率, 只需按下“5”“0”, “MH”和“B”。其余的键盘按钮功能是, 标志着“STO”的按钮是用来储存所有的信号发生器的设置;频率、衰减精度、模式以及其他都存储在内部的EEPROM存储器, 这样, 当你下次打开信号发生器, 只需要按下“STO”按钮你就可以得到相同的参数值。

调整旋钮是一个简单的非光学旋转编码器, 采用单独设置的步长来改变信号发生器的频率。步长可以设置为任何频率间隔, 默认是100Hz。在100Hz的步长情况下可以通过旋钮调整两个频率, 例如, 当在测试接收机时你可以使用输出B作为本地振荡器信号, 而使用衰减器A的输出作为信号源, 两个输出之间的差值会被设置为中频。

旋转编码器有一个附加的开关, 可以通过向面板按下旋钮来进行驱动。我用这个按钮设置衰减器。当推入旋钮时, 屏幕上显示当前的信号电平, 通过旋转旋钮, 你可以以1dB的步长来增加或减少这个电平。输出电平采用dBm和V进行显示。

两个按钮开关标记为“菜单”和“设置”。按下“菜单”按钮, 你将进入菜单并显示菜单的各种选项。“设置”开关和键盘用来改变信号发生器的模式、改变调制方式、扫描限制等。对于这些功能不再进行细节的介绍, 重要的是在用软件操作之前要想通如何做到这一点。

人们想要做的大多数事情也就包括了一个数字和一个行动。我自己感觉的最直观的做事方式是首先输入数字, 然后采取行动。刚才所举的例子, 关于如何设置频率说明了这一点, 首先通过键盘输入频率, 然后的按“A”或“B”按钮就组成了行动。

这似乎是显而易见的, 但还存在另一种理念, 你可以首先选择采取行动, 然后输入数字。例如, 我可以使用“菜单”按钮来选择和显示拟采取的行动, 如先“设定频率A”, 然后再采用键盘输入, 得到我想要的行为结果。你选择哪种理念并不重要, 重要的是所有的设置操作理念是一致的。

操作模式

有5个通用的操作模式:CW、AM、FM、扫描和XTAL。前三个是显而易见的。开启时, 仪器总是在CW模式, 呈现出检测器运行图的图形显示。如果你想从当前工作模式改变为其他任何模式, 使用菜单和设置按钮;例如, 你选择AM模式, 将被提示设置调幅百分比和频率;默认值将是上次最后储存在EEPROM存储器 (通过“STO”按钮) 中的。选择FM也是相似的操作。

“扫描”模式选项, 会提示你设置一个较低的上限频率以及扫描时间。“XTAL”模式是我用来衡量晶体的参数, 我会后面简单讨论这个问题。

显示

图形显示采用了广泛使用的64×128像素模块, 很多厂家都提供都相同的模块。这些模块都采用内置的LED背光照明和KS0108控制器。KS0108控制器可以让你打开、关闭单个像素, 并指定地址。编写代码来显示基本的文本和线是非常艰苦的工作, 这已经由马丁·托马斯完成了。通过使用马丁·托马斯的程序, 我编写了一个“顶层”程序来显示线图, 文本框等。在我的实现中, 图形的数据采用的是双缓冲。有一个1024字节的缓冲区 (8个字节×128列) 其中包含实际显示的图像, 同时也有一个图形例程被写入相同的输入缓冲区。由一个定时器每40毫秒触发后台的中断服务程序来比较图像缓冲区输入缓冲区。如果有任何字节的不同, 修改图像缓冲区使其与输入缓冲区相同, 这一新的变化, 同时被输入到显示器本身。因此, 图形显示每秒刷新25次。在每个刷新周期中, 只有那些需要改变显示的像素才会修改。这是最快的方式来更新显示图形;完全重写, 由于KS0108控制器的响应特性, 每次实际需要更长的时间。

对于程序而言, 双缓冲显示使得可以只写输入缓冲区, 而不必考虑时序, 因为这些都是由后台的中断程序进行。

扫描程序

该显示器具有128的水平像素, 因此每次扫描将有128个频率步长。垂直显示采用dB的形式, 采用对数标尺, 所以采用对数形式的水平频率显示也是合理的。这是很容易实现。唯一需要用来描述扫描特性的参数是上、下限频率和扫描所需的时间。串行接口的NS3的波特率为115200, 所以它需要大约87微秒的时间来发送一个8比特的字符, 并以一位作为开始和结束。由于“频率”命令到NS3可能需要多达13个字符 (包括最后的回车) , 所以必须在每个命令中预算1.13毫秒。这就决定了任何扫描的扫描周期的理论下限为144毫秒。

从控制器串行接口输出的信号由中断来缓冲和驱动。这意味着看似程序完成了频率设置, 而串行输出刚刚开始, 它将消耗另外1.13毫秒来完成传输。只有到那时, NS3才会设置频率。因此, 要确保NS3已完成频率的变化, 而AD8307的输出已确定。我设置了固定的最小延迟为2毫秒后控制频率的变化, 但在此之前需要抽样检测器的输出电平, 因此, 最小扫描时间为256毫秒;约每秒扫描4次。我觉得这是可以容忍的。在这个时序中, 我并没有包括需要额外的时间来更新显示, A/D输出转换成dB, 然后更改成相应的像素。因为这些计算只需要几微秒, 当定时器运行提供延迟时, 显示更新在后台完成而同时串行输出被缓冲, 这些操作不会对扫描速度有显著的影响。

NS3内置的检测器对需要多少个体采样值有几个选项。我希望在检测过程中有更多的控制权。相对于NS3有较低的检测基数, 所以我选择在一个独立的隔离器中建立独立的检测器并使用控制器 (其中一个A/D输入) 来进行电平测量。检测器使用的是普通的AD8307, 所以我选择的输出低通滤波器的电容时间常数约为100微秒。

扫描时间是扫描模式所要设置的参数之一。显然, 扫描时间不能小于256毫秒。然而, 如果它比256毫秒大, 时间需要除以128而且这个时间要加上所分配的样本之间的最小2毫秒。我的定时器日常工作的时间步长是在1毫秒 (我可以使用更精细的步长, 但没有) 。这个结果是扫描时间设定为128毫秒的粗略值。因此, 如果你选择了一个500毫秒的扫描时间, 最接近的可用时间将是384或512毫秒。在这种情况下, 该程序将选择最接近512。

仪器在进行扫描时, 在任何时候按SET按钮将导致其结束正在做的扫描, 然后通过RS-232串行端口将扫描频率表和检测到的信号电平值发送出去。完成这个过程后, 再向原来一样继续进行扫描。

频率校准

汤姆·奥尔德雷德已经作出频率校准, 这对NS3来说相当容易。他已经在固件中建立了一些常规值, 这使你可以准确地设置一些输出频率与标准的频率进行比较。所使用的最简单的标准是WWV。在我的设计中, 采用恒温晶体振荡器作为时钟源, 我让仪器运行1小时左右以后才做此校准。

衰减器和检测器的校准

NS3的命令设置输出电平的步长仅为0.1dB, 但是最低电平可以达到–20dBm;-10dBm标称值以下10dB。这些电平是非常精确的, 因为它是由AD9958内部的DDS集成电路采用全数字方式产生的。我拥有的衰减器的生产厂家不明, 有10dB的步长。问题是在校准检测器时, 如何确定衰减器衰减量的设置。从理论上讲可以做到这一点, 其过程如下 (我将介绍我的实际做法, 在其后将有一个更有效的方式) :衰减器所有段关闭 (无衰减) , 检测器的输出电平测量的结果是在-10dBm和-20dBm;这些电平是由NS3设置的。然后将NS3的输出设置输出为-10dBm, 衰减器设置为-10dB衰减, 将此时检测到的电平与NS3设置输出电平设置为–20d Bm、衰减器关闭时的测量值进行比较, 此时任何差异都可以归因于衰减器部分的误差。与此方法相同, 现在可以重复这个过程并设置衰减器的步长为20dB, 直到衰减器所有的部分都已校准。一旦知道了你的衰减器所有部分的准确衰减量, 就可以进行检测器的校准了。根据AD8307的数据表, 输出的绝对校准值对频率稍微敏感, 但是对所有的频率来说, 响应的斜率是相同的。因此, 要仔细的测量任何一个频率的 (使用现在的校准衰减器) 的响应, 通过假设所有的频率具有相同的响应斜率进行精确的相对电平的测量。

为了做整体检测器/衰减器的校准, 我在菜单上专门为此增加了一个模式。衰减器的输出连接到探测器的输入, 在此模式下, 输出电平以1dB为步长发生改变, 从–10dBm到-85d Bm, 同时A/D测量电平的均值, 每个电平中超过100个采样点的均值发送到串口。我重复执行这个扫描过程三次, 因为在A/D判决中会有噪声出现。我从我的计算机的主界面上捕获到这个结果, 并将它写入文件内同时通过一个所谓的“gnuplot”程序来绘制这个响应。Gnuplot可以运行在Windows和Linux操作系统的计算机上, 而且它是免费的。图7显示了检测到的电平 (伏特) 与我的衰减器所设置的输出电平的比较。该图与AD8307资料工作表中所画的图看起来相同, 其实它们本来就应该相同。

你可以看到, 直线 (在对数图中) 响应是不错的, 最后下降到约-72d Bm。散量由于太小在图中看不到, 这部分是由于控制器的10位A/D转换的粒度和AD8307的响应纹波部分所造成的。

图8显示了相同的绘图的一个截短的版本 (输入电平大于-72dBm) , 但是存在叠加在直线上的最小均方的最佳拟合。gnuplot程序有这样的能力, 能够产生合适的系数。也就是说, 如果我们假设检测到的功率电平为P, 而相关的测量出来的A/D电平为v, 那么这两个值由由一个公式联系:

Gnuplot程序给出了A和B的值, 产生的是这个方程的最合适的解。

看着这个图, 回想一下由NS3内部精确的电平设置的以1dB为步长从-10dBm的至-19dBm的值。从-19d Bm到-20dBm的步长是一个10dB衰减器部分被接入和NS3的输出被重新设置为-10dBm时的值。如果10dB衰减器的步长有一个误差, 我们希望从图中看到从-19至20dBm的间断点。同样, 从-29到30dBm的步长是10dB衰减器部分切换出, 而20dB衰减器部分插入。任何步长的间断点都归因于10dB部分与20dB部分的误差。我的校准程序设置从-10d Bm到-85dBm的输出电平。这意味着, 衰减器的10, 20和第一个40dB部分都在这个范围内交接。

图8由于精度的原因看不到任何可观测的间断点, 但是存实际的数据, 然后可以画出最佳拟合线和实际数据之间的差异。最明显的是在衰减器设置的-49/-50dBm处。这显示在图9中。正如你可以看到的, 图中–19/–20, –29/–30, –39/–40, –49/–50的部分存在间断点, 其中最大的是在-49/-50步长。从-20到-30的区域看起来也有点高, 这是因为在10dB衰减部分正被加入。如果我假设10dB的部分的值是10.0dB, 20dB的部分值为20.2dB和40dB的部分的值为40.0dB, 并再次通过同样的程序 (寻找最合适的, 然后在图中绘制差异值) , 我得到图10的所示的结果。在这里, 最明显的造成不可避免的误差的原因是AD8307的输出的纹波。我本可以对衰减器的电平做一些更细致的修正, 但它可能是不值得这样做。所观测的±0.2dB散度是由输出衰减器输出设置的准确性和检测器的准确性综合产生的。

我很幸运, 有一个精确的衰减器。如果用于校准的衰减器不够精确, 则校正过程会更长和更繁琐。衰减器校准的整体精度是根据NS3所设置的0到9dB的步长。

在我的程序中, 为了衡量一个功率电平, 我对检测器的输出做了A/D的变换, 然后取平均值以减少噪声的影响。设置这个数值为v, 然后检测的功率电平为P, 以dBm为单位由式1给出。

在结束衰减器和检测器的话题时, 我要提到的是我没有测量衰减器其他两个40dB部分的衰减情况。例如, 在-60dBm时, 输出电平大约只有224μV。接下来的40dB衰减器部分将只能用来得到-80dBm, 其值约22μV的。一旦你得到微伏水平或亚微伏水平, 衰减器周围的泄露将会影响到输出电压, 精确到只是几个百分点, 这真的很困难, 所以精确校准其他两个40dB部分真的不是那么重要。此外, 观测10, 20和第一个40dB的部分的精度, 使我觉得其他两个40dB的部分很可能已足够精确了, 甚至是一个在-100dBm电平时的出现了1dB误差, 也只能对应约0.2μV的输出电平变化。

晶体参数测量模式

我曾为《QEX》杂志写了篇文章讨论用于测量晶体参数的方法。在这篇文章中, 我详细的描述了在与电阻并联连接的一个固定装置中用来测量晶体参数的算法。

阿伦·布鲁 (N1AL) , 随后写了一封信给《QEX》杂志的编辑, 指出最小阻抗的频率与晶体的自谐振频率是不相同的, 这是因为当晶体安装在电路中以后, 由于受到晶体的杂散电容和并联电容的影响, 频率已发生改变。这种变化并不是小事, 因为对于VHF区域的谐波晶体振荡器来说它可能是几百赫兹的变化, 所以需要考虑。

确定此修正量的困难是, 它需要相当精确的测量并联与串联谐振晶体电路的总电容。通常情况下, 晶体的并联电容大约是5pF, 测量装置可能会存在一个相同大小的分布电容, 从而总的电容值是10pF左右。图11显示了我的测试设置。理想的情况下, 我们想知道这个精度为0.1pF以确定频率校正精度是否约为1%。

这个主题太复杂, 在此就不进行详细讨论, 而且这与单独的信号发生器并不真的相关。我一直在做一些并联模式的晶体模型的响应并与实际晶体测得的模型响应相比较。我想我已经发现了一种具有足够精度的确定校正技术, 在未来我希望写一篇关于它的文章。

总结

这个信号发生器是实验室级性能的仪器, 我可以设置100kHz和200MHz之间精度为1Hz的任意频率。频率的稳定性是由恒温晶体振荡器决定的, 并且在十万分之一的数量级上。由于晶体振荡器的使用年限, 它可能会需要一年左右重新校正一次。

功率检测器精确到±0.2分贝, 范围是从-10 dBm到-70 dBm。它是在一个单一的频率下校准的, 但对于任何给定的频率, 相对功率测量应该保持相同的相对精度。

信号发生器能够扫描任意范围内的频率 (0.1~200MHz仪器内的) , 信号电平与频率以对数图形方式显示输出。

这是一个非常有用的工具, 能够很好的适合用于测量滤波器响应, 调谐滤波器, 接收机对准等。它也适用于更重要的测量, 如那些需要来确定晶体结构参数, 并精确地测量晶体滤波器响应的场合。

Altium助力SpaceX突破商业太空飞行障碍

作为太空运输领域的后起之秀, 太空探索科技公司自主研发的Dragon无人太空舱于2012年5月成功返回地球, 标志着其成为有史以来第一家向国际空间站运输物品的私营企业。Altium Designer一体化开发工具全力协助SpaceX以有限的成本开发能够满足太空技术对质量和可靠性高要求的电路产品。

为确保其火箭始终满足最高质量和可靠性水平的要求, SpaceX的许多电路板和控制器产品都是在容错原则下进行自主开发。这种复杂而耗时的工程技术可确保所有系统在特定元件失效时仍然保持正常运作。

上一篇:毛泽东思想概论知识点下一篇:后备干部工作总结怎么写?