multisim交通灯教案

2023-06-21

在教学工作者开展教学活动前,通常会被要求编写教案,借助教案可以让教学工作更科学化。快来参考教案是怎么写的吧!以下是小编为大家整理的《multisim交通灯教案》,仅供参考,希望能够帮助到大家。

第一篇:multisim交通灯教案

Multisim教案

课题一 Multisim简介

目的:了解Multisim的背景与功能。 重点:了解Multisim的功能。 难点:无

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

1、Multisim发展简介

加拿大EWB (Electrical Workbench)

EWB4.0

EWB5.0

EWB6.0

Multisim2001

Multisim 7

Multisim 8 美国国家仪器(NI)有限公司

Multisim 9

Multisim 10

Multisim 11

2、EDA在发达国家的应用状况

EDA(Electronic Design Automation)技术已经在电子设计领域得到广泛应用。目前已经基本上不存在电子产品的手工设计。一台电子产品的设计过程,从概念的确立,到包括电路原理、PCB版图、单片机程序、机内结构、FPGA的构建及仿真、外观界面、热稳定分析、电磁兼容分析在内的物理级设计,再到PCB钻孔图、自动贴片、焊膏漏印、元器件清单、总装配图等生产所需资料等等全部在计算机上完成。

EDA技术借助计算机存储量大、运行速度快的特点,可对设计方案进行人工难以完成的模拟评估、设计检验、设计优化和数据处理等工作。

EDA已经成为集成电路、印制电路板、电子整机系统设计的主要技术手段。美国国家仪器公司(NI)的Multisim 9软件就是这方面很好的一个工具。而且计算机仿真 (Multisim 9)与虚拟仪器技术(LABVIEW 8)可以很好的解决理论教学与实际动手实验相脱节的这一老大难问题。美国NI公司提出的理念:“把实验室装进PC机中” ,“软件就是仪器”。

3、NI multisim概述

Multisim 被美国NI公司收购以后,其性能得到了极大的提升,最大的改变就是:

(1)可以根据自己的需求制造出真正属于自己的仪器;

(2)所有虚拟信号都可以通过计算机输出到实际的硬件电路上;

(3) 所有硬件电路产生的结果都可以输回计算机处理和分析。

NI Multisim组成:

(1) ――模数电路仿真

(2) ——单片机仿真

(3) ――FPGA、PLD、CPLD仿真

(4) ――通信系统分析与设计

(5) ――32层PCB设计

NI Multisim仿真的内容:

(1)器件建模及仿真:模拟器件(二极管,三极管,功率管等);数字器件(74系列,COMS系列,PLD,CPLD等);FPGA器件。

(2)电路构建及仿真:单元电路、功能电路、单片机硬件电路的构建及相应软件调试的仿真。

(3)系统组成及仿真。

(4)仪表仪器原理及制造仿真:可以任意制造出属于自己的虚拟仪器、仪表,并在计算机仿真环境和实际环境中进行使用。

4、电子通信类其它常用的仿真软件

System view:数字通信系统的仿真

Proteus:单片机及ARM仿真

Lab view:虚拟仪器原理及仿真

课题二 Multisim界面操作

目的:了解Multisim界面构成与基本操作。 重点:掌握Multisim菜单的内容与基本操作。 难点:掌握Multisim菜单命令的功能。

Multisim以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。

1、Multisim的主窗口界面。

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。

2、菜单栏

菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。

不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

(1)File

File菜单中包含了对文件和项目的基本操作以及打印等命令。

命令 功能

New

建立新文件

Open

打开文件

Close 关闭当前文件

Save

保存

Save As 另存为

New Project 建立新项目

Open Project 打开项目

Save Project 保存当前项目

Close Project

关闭项目

Version Control

版本管理

Print Circuit

打印电路

Print Report

打印报表

Print Instrument 打印仪表

Recent Files

最近编辑过的文件

Recent Project 最近编辑过的项目

Exit

退出Multisim

(2)Edit

Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。

命令

功能

Undo

撤消编辑

Cut

剪切

Copy 复制

Paste 粘贴

Delete 删除

Select All 全选

Flip Horizontal 将所选的元件左右翻转

Flip Vertical

将所选的元件上下翻转

90 ClockWise

将所选的元件顺时针90度旋转

90 ClockWiseCW 将所选的元件逆时针90度旋转

Component Properties 元器件属性

(3)View

通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。

命令

功能

Toolbars 显示工具栏

Component Bars 显示元器件栏

Status Bars

显示状态栏

Show Simulation Error Log 显示仿真错误记录信息窗口

Show XSpice Command Line Interface 显示Xspice命令窗口

Show Grapher 显示波形窗口

Show Simulate Switch

显示仿真开关

Show Grid

显示栅格

Show Page Bounds 显示页边界

Show Title Block and Border

显示标题栏和图框

Zoom In

放大显示 Zoom Out 缩小显示 Find 查找 (4)Place 通过Place命令输入电路图。 命令

功能

Place Component 放置元器件

Place Junction

放置连接点

Place Bus

放置总线

Place Input/Output 放置输入/出接口

Place Hierarchical Block 放置层次模块

Place Text 放置文字

Place Text Description Box 打开描述窗口,编辑描述文字

Replace Component 重新选择元器件替代当前选中的元器件

Place as Subcircuit 放置子电路

Replace by Subcircuit 重新选择子电路替代当前选中的子电路

(5)Simulate

通过Simulate菜单执行仿真分析命令。

命令

功能

Run 执行仿真

Pause 暂停仿真

Default Instrument Settings 设置仪表的预置值

Digital Simulation Settings 设定数字仿真参数

Instruments

选用仪表

Analyses

选用各项分析功能

Postprocess

启用后处理

VHDL Simulation

进行VHDL仿真

Auto Fault Option

自动设置故障选项

Global Component Tolerances 设置所有器件的误差

(6)Transfer菜单

Transfer菜单提供的命令可以完成Multisim对其它EDA软件需要的文件格式的输出。

命令 功能

Transfer to Ultiboard 将所设计的电路图转换为

Ultiboard(Multisim中的电路板设计软件)的文件格式

Transfer to other PCB Layout 将所设计的电路图以其他电路板设计软件所支持的文件格式

Backannotate From Ultiboard 将在Ultiboard中所作的修改标记到正在编辑的电路中

Export Simulation Results to MathCAD 将仿真结果输出到MathCAD

Export Simulation Results to Excel 将仿真结果输出到Excel

Export Netlist

输出电路网表文件

(7)Tools

Tools菜单主要针对元器件的编辑与管理的命令。

命令 功能

Create Components 新建元器件

Edit Components

编辑元器件

Copy Components

复制元器件

Delete Component

删除元器件

Database Management 启动元器件数据库管理器

Update Component

更新元器件

(8)Options

通过Option菜单可以对软件的运行环境进行定制和设置。

命令

功能

Preference

设置操作环境

Modify Title Block 编辑标题栏

Simplified Version 设置简化版本

Global Restrictions 设定软件整体环境参数

Circuit Restrictions 设定编辑电路的环境参数

(9)Help

Help菜单提供了对Multisim的在线帮助和辅助说明。

命令 功能

Multisim Help Multisim的在线帮助

Multisim Reference Multisim的参考文献

Release Note Multisim的发行申明

About Multisim Multisim的版本说明

3、工具栏

Multisim提供了多种工具栏,并以层次化的模式加以管理,用户可以通过View菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具栏,用户可以方便直接地使用软件的各项功能。

顶层的工具栏有:Standard工具栏、Design工具栏、Zoom工具栏、Simulation工具栏。

(1)Standard工具栏包含了常见的文件操作和编辑操作。

(2)Design工具栏是Multisim的核心工具栏,通过对该工作栏按钮的操作可以完成对电路从设计到分析的全部工作,其中的按钮可以直接开关下层的工具栏。

(3)Zoom工具栏可以使用户方便地调整所编辑电路的视图大小。

(4)Simulation工具栏可以控制电路仿真的开始、结束和暂停。

课题三 Multisim元器件库操作

目的:掌握Multisim元器件库的构成与操作。 重点:掌握Multisim元器件库的操作。 难点:掌握Multisim元器件库的区别。

EDA软件所能提供的元器件的多少以及元器件模型的准确性都直接决定了该EDA软件的质量和易用性。

1、Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。

2、Multisim以库的形式管理元器件,通过菜单Tools/ Database Management打开Database Management(数据库管理)窗口,对元器件库进行管理。

3、在Database Management窗口中的Daltabase列表中有两个数据库:

Multisim Master库存放的是软件为用户提供的元器件,用户对这些元器件没有编辑权,可以通过这个对话窗口中的Button in Toolbar显示框,查找库中不同类别器件在工具栏中的表示方法;

User库是为用户自建元器件准备的数据库,用户可以对自建元器件进行编辑管理。

4、在Multisim Master中有实际元器件和虚拟元器件,它们之间根本差别在于:

实际元器件是与实际元器件的型号、参数值以及封装都相对应的元器件,在设计中选用此类器件,不仅可以使设计仿真与实际情况有良好的对应性,还可以直接将设计导出到Ultiboard中进行PCB的设计。

虚拟元器件的参数值是该类器件的典型值,不与实际器件对应,用户可以根据需要改变器件模型的参数值,只能用于仿真,这类器件称为虚拟器件。并非所有的是元器件都设有虚拟类的器件。在元器件类型列标中,虚拟元器件类的后缀标有Virtual。

课题四 电路的输入与编辑

目的:掌握Multisim电路设计的步骤。 重点:掌握Multisim电路的输入与编辑。 难点:掌握Multisim元器件参数的设置。 输入电路图是分析和设计工作的第一步,用户从元器件库中选择需要的元器件放置在电路图中并连接起来,为分析和仿真做准备。电路设计的基本步骤如下:

1、设置Multisim的通用环境变量

用菜单Option/Preferences打开Preferences对话窗口,通过该窗口的6个标签选项,用户可以就编辑界面颜色、电路尺寸、缩放比例、自动存储时间等内容作相应的设置。

以标签Workspace为例,当选中该标签时,Preferences对话框中有3个分项:

Show:可以设置是否显示网格,页边界以及标题框。

Sheet size:设置电路图页面大小。

Zoom level:设置缩放比例。

2、取用元器件

取用元器件有从工具栏取用和从菜单取用两种方法,下面将以74LS00为例说明两种方法。

从工具栏取用:直接在工具栏中选择TTL按钮打开74LS类器件的Component Browser窗口选取,窗口中包含的字段有Database name(元器件数据库),Component Family(元器件类型列表),Component Name List(元器件名细表),Manufacture Names(生产厂家),Model Level-ID(模型层次)等内容。

从菜单取用:通过Place/ Place Component命令打开Component Browser窗口。

3、编辑元器件

当器件放置到电路编辑窗口中后,用户就可以进行移动、复制、 粘贴、旋转、参数设置等编辑工作。

4、连接元器件

元器件放置在电路编辑窗口后,用鼠标就可以方便地将器件连接起来。方法是:用鼠标单击连线的起点并拖动鼠标至连线的终点。在Multisim中连线的起点和终点不能悬空。

课题五 虚拟仪器的使用

目的:掌握Multisim虚拟仪表的使用。

重点:掌握Multisim虚拟仪表的功能与操作。 难点:掌握Multisim虚拟仪表的设置。

对电路进行仿真运行,通过对运行结果的分析,判断设计是否正确合理,是EDA软件的一项主要功能。

1、Multisim为用户提供了类型丰富的虚拟仪器,可以通过Instruments工具栏或菜单命令(Simulation/ instrument)选用各种虚拟仪表。各种虚拟仪表在选用后都以面板的方式显示在电路中。

下面将11种虚拟仪器的名称及表示方法总结如下:

表示方法 仪器名称

Multimeter

万用表

Function Generator 波形发生器

Wattermeter

瓦特表

Oscilloscape

示波器

Bode Plotter

波特图图示仪

Word Generator

字元发生器

Logic Analyzer

逻辑分析仪

Logic Converter

逻辑转换仪

Distortion Analyzer 失真度分析仪

Spectrum Analyzer

频谱仪

Network Analyzer

网络分析仪

2、在电路中选用了相应的虚拟仪器后,将需要观测的电路点与虚拟仪器面板上的观测口相连。

3、双击虚拟仪器就会出现仪器面板,面板为用户提供观测窗口和参数设定按钮。

课题六 模数电路仿真实例

目的:掌握Multisim电路的创建和仿真。

重点:掌握Multisim创建电路图和仿真的详细步骤。 难点:掌握Multisim元器件和虚拟仪表的参数设置。

下面以三极管单级放大电路为例,简要介绍利用Multisim来创建电路图和仿真的过程,并分析电路的性能。

三极管单级放大电路如图1-20所示,由1个2N2222A三极管、6个电阻、3个电容、1个12V直流电源和1个交流信号源组成。

图1-20 三极管单级放大电路

1、启动Multisim

单击Windows“开始”菜单下“程序”中的Multisim,就会打开Multisim 的用户界面,并在电路窗口中自动建立一个文件名为“Circuit1”的电路文件。

2、放置元件

Multisim 将若干元件模型分门别类地存放在元件工具栏中,元件模型是电路仿真的基础。所需的元件可以从元件工具栏(Component Toolbar)或虚拟元件工具栏(Virtual Toolbar)中提取。两者不同的是:从元件工具栏中提取的元件都与具体型号的元件相对应,在“元件属性”对话框中不能更改元件的参数(元件的性能参数,如电阻、电容、电感的大小,三极管的IS、NF、BF、VAF、ISE等参数),只能用另一型号的元件来代替。从虚拟元件工具栏中提取的元件的大多数参数都是该种/类元件的典型值,部分参数可由用户根据需要自行确定,且虚拟元件没有元件封装,故制作印刷电路板时,虚拟元件将不会出现在PCB文件中。下面以放置实际元器件为例来说明放置元件的过程。

(1)放置电阻

用鼠标单击Multisim用户界面的元件工具栏的Basic元件库按钮,弹出Select a Component对话框,再单击该对话框左侧Family滚动窗口中的RESISTOR,Select a Component对话框变成如图1-21所示的界面。

该对话框中显示了元件的许多信息,在Component滚动窗口中,列出了许多现实的电阻元件。拖动滚动条,找到1.0kΩ(注意,软件界面中欧姆符号Ω显示为Ohm)电阻,单击OK按钮或双击所选中的电阻,就会选中找到的电阻。选中的电阻会随着鼠标的移动在电路窗口中移动,移到合适的位置后,单击左键就可将1.0kΩ电阻放到指定的位置。同理,可将另外3个1.0kΩ、1个24kΩ和1个8.2kΩ电阻放到电路窗口适当的位置上。由于这几个电阻均是垂直放置,可依次选中,再单击Edit菜单中的“90 Clockwise”或“90 CounterCW”命令,将它们垂直放置。

图1-21 提取电阻

(2)放置电容

放置电容与放置电阻过程基本相似,只需要在弹出的Select a Component对话框左侧Family滚动窗口中单击CAPACITOR,Select a Component对话框就变成如图1-22所示的界面。在Component滚动窗口中,找到10μF电容,选中并将它放到电路窗口中合适的位置。 同理,在Family滚动窗口中单击CAP_ELECTR,再在Component滚动窗口中找到47μF极性电容,选中并将它放到合适的位置。

图1-22 提取电容

(3)放置12V直流电源

单击Multisim用户使用界面的元件工具栏的Source元件库按钮,弹出Select a Component对话框,再单击该对话框左侧Family滚动窗口中的POWER_SOURCES,Select a Component对话框变成如图1-23所示的界面。

图1-23 提取直流电源

在Component滚动窗口中,找到DC_POWER,选中并将它放到电路窗口合适的位置。此外,利用此对话框还可以将电路图中的接地端(GROUND)放到电路窗口中。同理,可以放置交流信号源AC_POWER。 (4)放置NPN三极管 三极管是该放大电路的核心,该电路选用三极管的型号为2N2222A。首先单击元件工具栏的Transistor元件库按钮,弹出Select a Component对话框,再单击该对话框左侧Family滚动窗口中的BJT_NPN,Select a Component对话框变成如图1-24所示的界面。

图1-24 提取晶体三极管

在Component窗口中的第1个三极管就是2N2222A,单击OK按钮,所选中的三极管就会随着鼠标的移动在电路窗口中移动,移到合适的位置后,单击左键就可将三极管放到指定的位置。至此,三极管放大电路所需要的所有元件都已被放置到电路窗口中。

3、连接电路

在Multisim 的电路窗口中连接元件非常简捷方便,通常有以下两种类型:

(1)元件与元件的连接。将鼠标指针移动到所要连接元件的引脚上,鼠标指针就会变成中间有黑点的十字,如图1-25(a)所示。单击鼠标并移动,就会拖出一条实线,如图1-25(b)所示,移动到所要连接元件的引脚时,再次单击鼠标,就会将两个元件的引脚连接起来。

(a)鼠标指针变成中间有黑点的十字 (b)用鼠标拖出一条实线

图1-25 元件与元件的连接 (2)元件与连线的连接。从元件引脚开始,将鼠标指针移动到所要连接元件的引脚上,单击鼠标并移动,移动到所要连接的连线时,再次单击鼠标,就会将元件与连线连接起来,同时在连线的交叉点上,自动放置一个节点,如图1-26所示。按该方法连接放置的元件,连接完成后的电路图如图1-27所示。

图1-26 元件与连线的连接 图1-27 连接完成后的电路图

4、编辑元件

为了使创建完成的电路符合工程习惯,便于仿真分析,可以对创建完成后的电路图作进一步的编辑。常用的编辑如下所示: (1)调整元件

如果对某个元件放置的位置不满意,可以调整其位置。具体方法是:首先用鼠标指向所要移动的元件,选中元件,此时元件的4个角上出现4个小方块,如图1-28所示;然后按住鼠标左键不放,将选中的元件拖至所要移动的位置即可。若选中多个元件,则可将多个元件一起移动。若元件的标注位置不合适,也可用该方法移动元件标注。 (2)调整导线

如果对某条导线放置的位置不满意,可以调整其位置。具体方法是:首先单击所要移动的导线,选中导线,此时导线两端和拐角处出现黑色小方块。若将鼠标放在选中的导线中间,鼠标会变成一个双向箭头,如图1-29所示,按住鼠标左键,拖动导线至理想的位置松开鼠标左键即可;若鼠标放在选中导线拐角处的小方块上,按住鼠标左键,就可改变导线拐角的形状。

图1-28 被选中的元件 图1-29 鼠标放在选中的导线中间 (3)修改元件的参考序号(Reference ID)

元件的参考序号是从元件库中提取时自动产生的,但有时与我们的工程习惯不相符,例如本例中的R2习惯上应表示为Rb1。可以双击该元件,在弹出的属性对话框中修改元件的参考序号。例如双击R2,弹出如图1-30所示的属性对话框,将Label标签上的Reference ID文本框内的R2改为Rb1。

(4)修改虚拟元件的数值

电路窗口中的虚拟元件,其数值大小都为默认值,可通过其属性对话框修改数值大小。例如,交流信号源的默认频率为60Hz、振幅为120V。双击交流信号源弹出其属性对话框,如图1-31所示。

图1-30 电阻的属性对话框 图1-31 交流信号源的属性对话框

在Value标签中,通过Voltage栏,将交流信号的振幅设置为10mV,通过Frequency栏,将交流信号的频率设置为1kHz。

5、显示电路节点号

电路元件连接后,为了区分电路不同节点的波形或电压,通常给每个电路节点起一个序号。初次使用Multisim仿真软件,所建立的电路不会自动显示节点序号,可单击Multisim 的Options菜单中的Preferences命令,弹出Preferences对话框,如图1-32所示。 图1-32 Preferences对话框 在Circuit标签中,选中Show框中的Show node names选项。选择完毕后单击OK按钮,就会返回Multisim用户界面,电路图中的节点全部显示出来。至此,就完成了图1-20所示电路的创建。

6、保存电路文件

编辑完电路图之后,就可以将电路文件存盘。存盘方法与多数Windows应用程序相同,第一次保存新创建的电路文件时,弹出“另存为”对话框,默认文件名为“Circuit1.ms10”,也可更改文件名和存放路径。

7、电路的仿真分析

Multisim为电路分析提供了强大的工具,一是利用Multisim提供的分析功能,仿真电路的各种性能;二是利用Multisim提供的仪表,建立虚拟电子工作平台。下面以图1-20所示的三极管单级放大电路为例,说明Multisim的仿真过程。

a.利用Multisim提供的分析功能

在Multisim 用户界面中,打开Simulate主菜单中的Analysis子菜单,就会发现Multisim提供的各种分析,下面以直流工作点分析为例来说明仿真的过程。直流工作点分析的步骤如下所述: (1)创建电路原理图。

(2)显示电路的节点序号。 (3)设置显示电压的节点。

单击Simulate菜单中Analysis子菜单下的DC Operating Point命令,弹出如图1-33所示的DC Operating Point Analysis对话框。在Output variables标签中,选择需要仿真的变量。可供选择的变量全部罗列在Variables in circuit列表栏中,选中的变量全部列

图1-33 DC Operating Point Analysis对话框 图1-34 直流工作点分析仿真结果 在Selected variables for列表栏中,单击Add和Remove按钮,就可选择或撤销某个变量。在该例中,选中所有的变量。

(4)启动仿真按钮。单击图1-33中的Simulate按钮,仿真的结果如图1-34所示。

b.利用Multisim提供的仪表进行仿真分析

在电路窗口右侧的仪表工具栏中,Multisim提供了18种仪表,基本上能满足虚拟电子工作平台的需要,甚至还包括一些贵重仪表,如逻辑分析仪、网络分析仪等。下面以实验室最常用的双踪示波器为例,具体说明如何利用仪表进行电路节点的波形仿真。 利用示波器显示输出波形步骤如下:

(1)连接示波器。单击仪表工具栏中的Oscilloscope按钮,鼠标指针处就出现一个示波器的图标,移动鼠标到合适的位置,再次单击,就可将示波器放到指定的位置。示波器的图标上有4个端子,底部水平位置分别是A、B通道信号输入端,右侧垂直方向由上往下分别是接地端和外触发信号输入端。连接后的电路图如图1-35所示。 (2)观察波形。单击“仿真”按钮,双击示波器图标,就会在示波器的显示屏上显示输入、输出的信号波形。若显示波形不理想,可分别调整时间刻度、A/B通道的幅度刻度和垂直偏差,就会显示清晰可辨的波形。调整后的波形如图1-36所示。

图1-35 连接示波器后的电路图 图1-36 示波器显示的波形 从图1-36可看出,处于正常放大状态的三极管放大电路,输出波形是输入波形的反相,并且有一定的电压放大倍数(注意:A、B两通道的Y轴刻度单位不同)。

课题七 单片机电路仿真实例

目的:掌握Multisim单片机电路设计与仿真的步骤。 重点:掌握Multisim单片机程序的链接。

难点:掌握Multisim单片机电路仿真的路径设置。

美国国家仪器公司发布的最新版Multisim10加入了MCU模块功能,可以和8051等单片机进行编程联调。下面介绍一下Multisim10刚加进来的MCU模块的仿真步骤。

一、 仿真步骤

1、运行multisim10,进入以下界面:

图一

Design Toolbox工具栏显示全部工程文件和当前打开的文件。状态栏用于显示程序的错误和警告,如果有错误和警告那还还需要重新修改程序。直到没有错误为止才能正常加载程序。

2、在电路窗口的空白处点击鼠标右键,将出现如下菜单。菜单包括:放置元件(place component)、连接原理图(place schematic)、放置图形(place graphic)、标注(place comment)等,这里单击第一项place component,或者按“CTRL+W”放置元件。

图二

点击第一选项或者按“CTRL+W”后会出现以下元器件选择对话框(图三):

图三

3、在Group中选择我们需要的器件的类别,在Family中选择我们需要的器件,点击“OK”即可。在选择805X和PIC等可编程器件时会出现如下对话框:

图四

4、这时在“Please enter the workspace name”中输入文件名,点击“Next”进入第二步:

图五

5、在第二步中在“Programming language”中选择“ Assembly”,表示用汇编语言编写。如果选择“C”则表示用用C语言编写。点击“Finish”,完成了对单片机的设置。 这时在软件界面左边的“Design Toolbox”中会出现新的文件,如下图所示:

图六

6、点击Circuit1项目,显示电路窗口:

图七

7、点击main.asm项目,显示编程窗口:

图八

8、回到电路窗口,按照下图选择元器件,并连接好电路:

图九

9、连好电路图以后,点击main.asm,切换到编程窗口,编写程序:

图十

程序写在“$MOD51”和“END”之间:

图十一

10、程序写完后,要载入程序。用鼠标右键点击Design Toolbox栏的main.asm,选择“Build”。这时在软件最下方的“Spreedsheet View”栏中会显示编程的错误和警告。如果出现错误会在该栏中显示并显示出错的具体位置,那么我们要回到编程窗口找到错误并修改,一直修改到0错误和0警告为止。

注意:

1、在创建MCU的程序文件的路径中不能出现中文字符,否则会出错;

2、只有在放置MCU器件时,MCU向导产生的程序文件的路径才是正确的,否则路径会出错。 图十二

11、以上工作完成后,回到电路窗口,找到快捷工具栏中的“RUN”按钮(图中的第一个按钮)。

图十三

按下“RUN”以后,电路窗口中的LCD就开始显示。

图十四

二、LCD器件与显示程序

1、LCD引脚功能 VCC电源端+5V。

CV显示屏亮度调节端,接电源+5V或接地,具体情况要看厂家的数据手册。

GND接地端。 E信号使能端,下降沿有效,下降沿时,LCD对RS和DATA进行取样和执行操作。

RS数据/命令选择端,1-数据、0-指令。 RW读写选择,1-读、0-写,如果LCD没有用到这个I/O口,就接地。 D7—D0是DATA的I/O口,接单片机的I/O口,用于输入数据或者指令。

2、显示程序

$MOD51 ;This includes 8051 definitions for the metalink assembler ORG 0000H CLR P3.0;LCD开始工作第一步要进行初始化,初始化程序段开始。 SETB P3.1 MOV P1,#03H CLR P3.1 SETB P3.1 MOV P1,#0CH CLR P3.1 SETB P3.1 MOV P1,#06H CLR P3.1;初始化程序段结束。

SETB P3.0;初始化以后对LCD写第一次显示数据。 SETB P3.1 MOV P1,#30H CLR P3.1 SETB P3.1 MOV P1,#31H CLR P3.1 SETB P3.1 MOV P1,#32H CLR P3.1 SETB P3.1 MOV P1,#33H CLR P3.1 CLR P3.0;第一次显示数据以后,要进行第二次数据显示时,需要清屏,清屏程序段开始。 SETB P3.1 MOV P1,#01H CLR P3.1 SETB P3.0;清屏程序段结束。 SETB P3.1;清屏后,对LCD写第二次对LCD写数据。 MOV P1,#34H CLR P3.1 SETB P3.1 MOV P1,#35H CLR P3.1 SETB P3.1 MOV P1,#36H CLR P3.1 SETB P3.1 MOV P1,#37H CLR P3.1 SETB P3.1 MOV P1,#38H CLR P3.1 SETB P3.1 MOV P1,#39H CLR P3.1 SETB P3.1 MOV P1,#41H CLR P3.1 SJMP $ END

3、电路功能

实现基于单片机的LCD显示,LCD分两次显示,第一次显示“0123”第二次显示“456789A”。LCD采用08x1的液晶显示器,单片机采用8051。

第二篇:Multisim实验心得

现代电路实验心得

Multisum是一款完整的设计工具系统,提供了一个非常大的呢原件数据库,并提供原理图输入接口﹑全部的数模Spice仿真功能﹑VHDL/Verilog设计接口于仿真、FPGA/CPLD综合、EF设计能力和后处理功能,还可以进行从原理图到PCB布线工具包的无缝隙数据传输。它提供的单一易用的图形输入接口可以满足用户的设计需求。Multisim提供全部先进的设计功能,满足用户从参数到产品的设计要求。因为程序将原理图输入、仿真和可编程逻辑紧密集成,用户可以放心地进行设计工作,不必顾及不同供应商的应用程序之间传递数据时经常出现的问题。

本学期在现代电路课程实验中,在老师的指导下对Multisim进行了初步的学习与认识,由对此款软件的一无所知,到渐渐熟悉,感到莫大欢喜。本学期的学习也只是对Multisim此款仿真软件的初步认识与学习。在初步学习与认识的过程中,深深了解到Multisun此款仿真软件是一款完整的设计工具,今后一定会在实训中将此款软件学习的更好,应用的更好。

本学期的上机实验中,主要应用了Multisim此款软件的模电与数电的电路仿真,下面将从本学期的上机实验中总结本学期对Multisim此款仿真软件的学习心得。

数电部分实验:

实验中通过阅读实验指导用书,及在老师的指导下,从打开Multisum软件、建立文件、放置元器件、对元器件参数的修改编辑,按照实验原理图在Multisim软件界面建立了第一个电路图,函数信号发生器实验原理图。并在原理图上添加了示波器(如下图)。

通过对示波器参数的设置与调整,仿真运行后得到了如图中所示波形。

通过观察,与实验理论现象完全一致。 信号源为正弦波,幅值为5V时

并通过调节信号源的参数观察实验现象得到了该电路的各性能参数如下图:

- 123 -

第三篇:《Multisim中文视频教程》

第一讲 电路创建和基本功能测试 第二讲 常用仪器仪表使用 第三讲 整流滤波电路创建与测试 第四讲 放大电路的创建与测试 第五讲 数字电路的创建与测试 中文名: Multisim中文视频教程 英文名: Multisim 版本: Multisim7 发行时间: 2009年 地区: 大陆 对白语言: 普通话 文字语言: 简体中文 简介:

Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。

第四篇:Multisim10.0安装说明

Multisim10.0汉化破解版,又叫虚拟电子实验室。它是一个原理电路设计、电路功能测试的虚拟电路仿真软件,一个虚拟电子实验室。软件可以虚拟设计测试和演示各种电子电路(电工学、模拟电路、数字电路等),能够进行详细的电路分析功能,以帮助设计人员分析电路的性能。Multisim10.0是学习电子设计专业必备的软件。

软件安装说明:

1. 解压缩

2. 双击文件“setup.exe”进行安装原版程序。

3. 安装序列号:T31T38768也可用注册机1生成。(建议直接填写序列号,简单点!)

4. 之后出现安装的内容,一般第一项不选。(Support and Upgrade Utlity右击不安装)

5. 两次协议确定后,再选“restart”重启电脑就安装好了。

6. 电脑重启后,先不运行软件,先用文件夹“Crack”中的注册机2生成许可文件。

7. 双击文件“Circuit Design Suite v10 KeyGen.exe”进行许可证生成,随你自己选1-5选项,建议先2(Full edition)

8. 许可证就生成在文修“Circuit Design Suite v10 KeyGen.exe”旁边。

9. 开始菜单-->所有程序-->National Instruments-->NI License Manager(这是NI许可证管理器)-->选项-->安装许可证文件-->选择第7步生成的许可证-->关闭窗口。

10. 汉化过程:打开文件夹“crack”,找到“汉化说明”,把文件夹“ZH”复制到软件安装目录下C:Program FilesNational InstrumentsCircuit Design Suite 10.0stringfiles即可。

11. 开始菜单-->所有程序-->National Instruments-->Circuit Design Suite 10.0-->Multisim。

12. 打开软件后,选择菜单Options-->Gobal Preferences-->General中的languange-->选语言ZH是中文-->大功告成。

第五篇:Multisim的使用心得体会

Multisim使用心得

记得刚开始使用它的时候,有很多次仿真结果与实际差很多,也忍不住会骂NI,这么垃圾的东西也拿出来卖啊,谁要是相信他了,结果肯定是死的很惨,呵呵。虽然刚开始给我的感觉很不好,但是那时手头也没有比较好的电路仿真软件,先凑合着用吧,等发现更好的再替换它。现在回头想想,NI挺冤的,经过这么长一段时间的使用和查资料解决相关问题,我终于明白了网上一个前辈说的话,软件本身很不错,但是能不能得到比较精确的结果在于用的人,你会不会使用它。呵呵,事实确实如此。

不过仿真终究是在理想情况下对电路进行的模拟,与现实的结果会有较大的差距,但并不能否认它的用处,因为在熟悉之后就可以根据经验由仿真数据进行实际电路的评估与制作!所以工具本身很不错,但是能不能得到比较精确的结果在于用的人,你会不会使用它!!

但是有一个问题也会随之而来,就是设计人员在设计电路的时候不会从Mulitisim中去查找合适的元件,而是根据要求与指标先查找合适的元件,然后再去验证自己的正确性,这样一来,就会有许多元件可能在Multisim中找不到,查找Multisim中相同参数的元件又很麻烦,怎么办呢?幸好Multisim可以创建仿真元件模型,否则的话,我们设计出来的东西就只有实际搭出来验证了,这样就会浪费很大的人力物力财力。下面我就说一下仿真模型的创建步骤。

首先要准备好器件的Pspice模型,这是最重要的,没有这个东西免谈,当然Spice高手除外。下面就可以利用Multisim的元件向导功能制作自己的仿真元件模型了。将刚刚做好的元件保存,你可能注意到了,保存的路径里面没有出现Master Database,即主数据库,这就是Multisim做的较好的其中一方面,你无论是新建元件还是修改主数据库里面的元件,都不会影响主数据库里面的元件,选好路径以后点击Finish即可,一个新元件就被创建了。

当然在使用过程中也难免遇到一些棘手的问题,比如没有自己所需的原件时该怎么办:?::比如没有“热释电人体红外传感器”怎么办? “热释电人体红外传感器”是一种新产品,电子仿真软件MultiSIM的元件库中没有。我们知道“热释电人体红外传感器”是一种能接收人体发出的微弱红外线,然后将它转换成微弱电信号的一种器件。既然我们知道了它的工作机理,很简单,我们可以用一个开关来代替它。将开关和电源连在一起,开关打开时表示电路没有接收到信号;开关闭合一下随即打开,表示电路已接收到人体走动的红外线信号,并已

转换成电信号被接收,电路会动作,或控制的节能灯亮了,或控制的继电器闭合了等。图一是“热释电人体红外感应节能灯”的虚拟仿真电路,读者可以去试一下,开关J1闭合一下随即打开,看红色指示灯是否会亮一段时间,然后自动熄灭。在实际电路中,电路是控制交流灯泡的,这里采取了用红色指示灯来代替的变通方法,一般来说只要虚拟仿真成功了,做成实物也就没有大问题了(注:图中电阻R19是为了仿真时红色指示灯发光稳定添加的,实际电路可以不用;

上述节能灯电路实际应用时,是用双向晶闸管来控制交流灯泡的亮和灭的,可以在电子仿真软件中搭建如图2所示虚拟仿真电路。先用“+10V”电源控制交流灯泡X1的发光如图3所示;再用“–10V”电源控制交流灯泡X1的发光如图4所示。以上虚拟仿真结果,实现了用双向晶闸管来控制交流灯泡发光的实验验证。实际上,双向二极管是受交流电的正、负半周电压控制的,这里也可用正、负直流电压来代替仿真,效果是一样的。

以上所列例子都说明了,在应用电子仿真软件MultiSIM进行虚拟仿真时,有许多传感器或新器件,只要知道了它们的电特性或在电路中的作用,完全可以灵活采用变通的办法代替进行仿真,本来软件就是进行虚拟实验的,并不一定非要用真实元件不可,这样可以大大地拓宽电子仿真软件MultiSIM的应用范围。再说用软件仿真时不存在损坏和烧毁元件、仪器的问题,只要设计好了电路都可以试一试,仿真成功了就可以进行实际电路的组装和调试,不成功再修改电路重新仿真。

电子仿真技术MultiSIM软件更新很快,不断有新版本问世,一方面说明推出软件的公司资源雄厚、精益求精、不懈努力、与时俱进;另一方面,更说明了电子仿真技术MultiSIM市场看好、前途光明。特别是加拿大的IIT公司被美国国家仪器公司(NI公司)收购以后,实现了强强联合,在Multisim9和Multisim10版本中加强了LabVIEW技术,MCU仿真技术,VHDL仿真技术,Verilog HDL仿真技术,CommSIM 仿真技术,UltiBOARD制版技术等内容,使MultiSIM软件性能更加先进和实用,相信不久的将来,MultiSIM技术会在国内受到广大电子工作者的喜爱,应用会越来越广泛。

上一篇:aminoacids投稿要求下一篇:如何联系colorpop客服