eda课设自动售邮票机

2024-05-04

eda课设自动售邮票机(精选2篇)

篇1:eda课设自动售邮票机

基于VHDL自动售货机的设计

摘要

基于FPGA的自动售货机的设计,主要完成的任务是使用VHDl语言,在MAX+PLUSSII上完成电路的设计,程序的编译,基本功能的完善、模拟整个自动售货机的工作过程。电路的设计模块分为几个模块:主控模块、译码模块、顶层模块。各个模块完成不同的任务,合在一起就构成了一个自动售货机。在售货机的设计过程中,使用VHDL语言,根据各个模块的不同功能和它们之间的控制关系进行编写。软件模块直接在MAX+PLUSSII上进行。进入21世纪,随着人们对效率的追求,人工售货已不能不满足人们的需求。对此,自动售货机的设计就用了很大的应用空间和市场。

基于FPGA的自动售货机设计,采用软件开发模块,开发成本底,而且功能设计上有很大的灵活度,需要在软件上进行简单的修该就能实现不同的功能要求,能够满足不同的环境要求。

关键字:自动售货机;FPGA;仿真;VHDL;MAX+PLUSSII

目录

第一章 绪论......................................................................................4 第二章 自动售货机的设计................................................................4 2.1 设计说明.................................................................................4 2.1.1 流程说明.......................................................................4 2.1.2各模块说明....................................................................5 2.1.3各模块的连接.................................................................6 2.2状态之间的转换......................................................................6 第三章 仿真时序图.............................................................................7 3.1主控模块的仿真......................................................................7 谢 辞..............................................................................................10 参考文献............................................................................................11 附录...................................................................................................11

第一章 绪论

随着科技的发展和社会的进步,自动化商业机械有了越来越广泛的的应用,自动售货机作为自动化商业机械的代表被广泛用于公共场所自动售货,给人们的生活带来了极大的方便。特别在发达的国家,自动售货机已经十分普及,自动售货机可售出各种成型包装的小商品,各种袋装,盒装,软瓶装等小商品。因其无需专人值守而可以每天24 小时售货,被称为“无人小超市”。在我国,由于多种原因,自动售货机的市场推广缓慢,随着商品市场的不断繁荣,自动售货机和自动服务设施必将逐步得到广泛的应用。

本文设计研究自动售货机的主要功能模块,主要包括货币处理模块、余额计算模块、显示模块,延时和时控模块。相应的功能有选择货物,多次购买,出货找零。

本文是通过使用VHDL语言,基于KX GW48FPGA芯片,并通过使用MAXPLUSS II软件进行程序设计,模拟仿真,功能验证,以更好的来理解和掌握自动售货机控制系统的工作原理和设计方法,以及学习和掌握基于EDA的电子产品设计方法,进一步加深对VHDL语言以及MAXPLUSS II 软件、FPGA可编程芯片的认识与了解。

FPGA是现场可编程门阵列(Field programmable gates array)的英文简称。是有可编程逻辑模块组成的数字集成电路(IC)。这些逻辑模块之间用可配置的互联资源。设计者可以对这些器件进行编程来完成各种各样的任务

PLD/FPGA是近几年集成电路中发展最快的产品。由于PLD性能的高速发展以及设计人员自身能力的提高,可编程逻辑器件供应商将进一步扩大可编程芯片的领地,将复杂的专用芯片挤向高端和超复杂应用。据IC Insights的数据显示,PLD市场从1999年的29亿美元增长到去年的56亿美元,几乎翻了一番。Matas预计这种高速增长局面以后很难出现,但可编程逻辑器件依然是集成电路中最具活力和前途的产业。

第二章 自动售货机的设计

2.1 设计说明

本文设计的自动售货机可销售cola 和 pepsi两种饮料:售货机可识别1元和0.5元的两种货币,在一次购买过程中,可购买一个或多个商品,系统会自动计算所需钱数和找零的钱数并自动找零。另外有3个发光二极管、6个LCD数码管:两个用来显示所需金额,两个用来显示已付金额,两个用来显示找零数。

2.1.1 流程说明

本文设计的自动售货机当通电时,表示一次销售的开始。顾客选择一种商品或多种商品后就进入投币状态。若不投币,则自动返回初始状态。投币后,系统自动计算所投钱数。若投币够,则出货并找零。若投币不够,如果顾客没有继续投币,则退币并回到初始状态。本系统的投币销售流程图如图1-1所示:

图1-1

2.1.2各模块说明

本文设计的自动售货机总体分三个模块:总控模块,二进制译码模块、BCD码译码模块。

总控模块:总控模块是本系统最重要的模块,该模块大体有5个输入端口和6个输出端口。其输入端口包括clk、coin1(投入一元货币)、coin5(投入0.5元货币)、cola(选择cola)、pepsi(选择pepsi),输出端口有paid(已投入多少钱)、needed(还需多少钱)moneyout(找零)、success(灯亮表示交易成功)、failure(灯亮表示交易失败)、showmoneyout(灯亮表示正在找零)。该模块实现了本系统最重要的交易过程,包括选择商品、投入货币,计算剩余金额,找零出货等。

二进制译码模块:该模块有一个输入端口和两个输出端口。输入端口是一个8位的二进制数输出端口bcd0、bcd1是两个4位的BCD码。该模块的主要的功能是实现将主控模块输出的二进制数(paid、needed、moneyout)转换成BCD码,以便输出到七段数码管上显示出来。该模块的原理是将一个8位的二进制转换成2个4位的BCD码,分为高四位和低四位。

BCD码译码模块:该模块有一个输入端口和一个输出端口

2.1.3各模块的连接

各模块的连接如图1-2和1-3所示:

图1-2 售货机主控系统和译码器模块图

图1-3 售货机顶层模块

2.2状态之间的转换

本文所设计的自动售货机进程可以分为7个状态:a,b,c,d,e,f,g.a为开始状态,当有商品选择键按下时即进入b状态(投币状态)。当有货币投入,但投币不够时,即进入c状态(继续投币),若投币够了,即进入d状态(出货找零),之后进入f状态(延时)后返回a(状态)。

图1-3状态流程图

第三章 仿真时序图

3.1主控模块的仿真

上图表示顾客选择了pepsi饮料后,且投了2个一元的硬币。Success为高电平,代表售货机有饮料售出。且余额为0.7

上图表示顾客选择了pepsi饮料后,且投1个一元的硬币和一个0.5元的硬币。failure为高电平,代表售货机无饮料售出。且余额为已投的金额,将钱退还给顾客。.上图表示自动售货机的译码系统,上图表示将8位数字转换成4位BCD码。

2.2BCD码译码的仿真

上图表示自动售货机的译码系统,上图表示将8位数字转换成4位BCD码。

2.3TOP文件的仿真

上图表示顾客选择了pepsi饮料后,且投了2个一元的硬币。Success为高电平,代表售货机有饮料售出。且余额为0.上图表示顾客选择了pepsi饮料后,且投1个一元的硬币和一个0.5元的硬币。Failure 为高电平,代表售货机无饮料售出。Showout为退币指示灯。.Mout_lcd0和Mout_lcd1,显示退币的金额。Paided _lcd0 和Paided_lcd1 needed _lcd0 和needed_lcd1 分别显示已付金额和还需要的金额数。

第四章 小结

自动售货机系统的设计经过一个星期的设计已经全部完成,能按预期的效果进行多次购买、找零出货、并且在数码管上显示出已投货币的金额、还需金额、找零,同时有指示灯提示交易成功、交易失败、正在找零。在自动售货机系统的3个模块中,最主要的是主控模块,其次的还有二进制译码模块和BCD码译码模块。各模块完成后,将它们组合成完整的自动售货机系统。在设计过程中还需改进的是。本文所用的VHDL语言虽然语法规则与其他计算机高级语言如C语言在很多方面很类似,但它毕竟是硬件描述语言,其本质作用在于描述硬件,因而会受硬件环境的限制。因此,为了达到设计的预期效果,在代码编写前,应做到对硬件电路心中有数,不能一味的追求代码的完美,而应该已实现的硬件电路的性能优劣为标准。

谢 辞

在这里我要感谢我的指导老师

参考文献

(1)潘松,黄继业编著.《EDA技术实用教程 》,2005,科学出版社

(2)徐志军,徐光辉编著.《

CPLD/FPGA的开发与应用 》,电子工业出版社,2001.1(3)http://ponent shouhuoji port(clk:in std_logic;

coin1:in std_logic;

coin5:in std_logic;

cola:in std_logic;

pepsi:in std_logic;

paid:out std_logic_vector(7 downto 0);

needed:out std_logic_vector(7 downto 0);

success:out std_logic;

failure:out std_logic;

showmoneyout:out std_logic;

moneyout:out std_logic_vector(7 downto 0));end component;component code1 port(b:in std_logic_vector(7 downto 0);

bcd0:out std_logic_vector(3 downto 0);

bcd1:out std_logic_vector(3 downto 0));end component;

signal p,n,mo:std_logic_vector(7 downto 0);

--signal s1,s2,s3,s4,s5,s6:std_logic_vector(3 downto 0);begin

u0:shouhuoji--各模块连接

port map(clk=>clk1,coin1=>C1,coin5=>C5,cola=>P1_5,pepsi=>P2, success=>s,failure=>f,showmoneyout=>showout,paid=>p,needed=>n,moneyout=>mo);u1:code1 port map(b=>p,bcd0=>paid_lcd0,bcd1=>paid_lcd1);u2:code1 port map(b=>n,bcd0=>needed_lcd0,bcd1=>needed_lcd1);u3:code1 port map(b=>mo,bcd0=>Mout_lcd0,bcd1=>Mout_lcd1);

end one;

篇2:eda课设自动售邮票机

关键词:自动售检票系统,远程,开关机

自动售检票系统车站设备远程开关机功能,主要是指车站设备能够通过车站计算机(以下简称SC)向车站设备发送开关机命令,SC作为远程开关机的控制中心,向车站的所有设备广播一个开机唤醒或关机的命令[1]。各个设备收到命令后,通过电源控制器有步骤地逐一开启或关闭各个子部件的电源,从而实现设备的开关机。远程开关机功能,有利于有效降低能耗,方便车站人员操作设备,大大减少车站操作人员的工作量,从而提高运营服务质量[2]。

1 远程开关机系统设计要求与原理

远程开关机系统的设计应符合以下要求:

(1)系统基于设备低能耗的设计方针,通过远程开关机技术,可大大降低设备的能耗;

(2)在开关机过程中,如碰到故障应及时提醒和给予相关工作人员足够醒目的警示;

(3)系统在进行设备网络唤醒时不应引起网络阻塞;

(4)系统设计的设备容量应在200台以上,并且应有20%的冗余量;

(5)设备数据通信及控制网络应为以太网为准,不应增设其他路径,以增加成本;

(6)所有的设备应由SC控制中心进行集中统一管理[3]。

设备包括:闸机、自动售票机、自动验票机、票房售票机。

远程开关机系统的设计原理:主控单元接收到SC控制中心发过来的关机指令后,先通知电源控制器按照预先设定的流程,逐一关闭各单元的电源。电源控制关闭电源的操作完成后,向主控单元响应一个操作正确的应答,否则响应一个操作错误的应答。主控单元收到应答后,向控制中心报告设备关闭状态。操作完成,主控单元进入关机状态。

远程开机是通过远程唤醒技术(WOL,Wake-on-LAN)实现,WOL是由网卡配合其他软硬件,通过给处于待机状态的网卡发送特定的数据祯,实现电脑从停机状态启动的一种技术。通过Magic Packet协议实现,Magic Packet协议是AMD公司开发出来的一种用于网络唤醒的包通讯协议。具体实现流程是主控单元首先被唤醒后加电进入开机状态,随后主控单元通知电源控制器通知各模块开机。

2 设备远程开关机系统的硬件设计及设备选型

2.1 设备远程开关机系统组成

车站设备电源控制系统,主要组成部分有:

(1)主控单元ECU及其电源适配器;

(2)不间断电源UPS;

(3)电源控制模块;

(4)SC车站控制中心。

主控单元接收来自控制中心(SC)的指令,指示电源控制模块按照设定的流程对车站设备各个部分单元电源进行操作。远程开关机控制系统组成示意图如图1所示。

市电进入到UPS,由UPS分别输出到电源控制器及ECU的电源适配器。主控单元ECU必须处于供电状态下,才能被网络唤醒,所以UPS应处于常工作态。即设备被远程关机后,UPS也应处于开机状态,以便提供电源供给ECU。SC控制中心通过以太网控制ECU,ECU通过串口和电源控制模块通信,电源控制模块控制内部各个单元的电源开关,从而控制整个设备的开关机。

2.2 硬件的基本要求

设备的唤醒主要是主控单元的唤醒,然后通过主控单元通过发指令到电源控制器对系统各个部件逐一加电。使用网络唤醒对主控单元的硬件有一定的要求,主要表现在网卡、主板和电源上,三者必须同时支持网络唤醒的要求才能实现该功能:

2.2.1 网卡

被唤醒计算机的网卡必须支持WOL即Wake-up On LAN。网卡支持PCI2.2标准,即网卡支持从PCI插槽取电。

2.2.2 电源

电源必须是符合ATX 2.01标准的ATX电源,+5V Standby电流至少应在600 mA以上。

ATX电源的特点:与AT电源相比,ATX电源增加了“+3.3 V、+5 VSB、PS-ON”三个输出。其中“+3.3 V”输出主要是供CPU用,而“+5VSB”、“PS-ON”输出则体现了ATX电源的特点。ATX电源最主要的特点就是,它不采用传统的市电开关来控制电源是否工作,而是采用“+5VSB、PS-ON”的组合来实现电源的开启和关闭,只要控制“PS-ON”信号电平的变化,就能控制电源的开启和关闭。“PS-ON”小于1 V时开启电源,大于4.5伏时关闭电源。

2.2.3 主板

主板必需支持PCI2.2标准,可以直接通过PCI插槽向网卡提供+3.3 V Standby电源。

3 设备远程开关机系统的软件系统

远程关机功能可通过SC手动发送远程开关机命令,SC可设置运营时间表,在计划时间内自动发送远程开关机命令。

远程关机使用命令通过TCP方式发送设备控制命令,控制命令报文格式采用当前广州地铁公共接口规范中的55报文。

SC具有远程关机控制命令,SC可向单个、某类和一组设备发送远程关机命令,设备接收关机控制命令后,控制设备电源模块,关闭设备内部各个模块电源,在关闭电源后,自动关闭设备ECU,进入关机状态。

远程开机命令采用Magic Packet数据包在车站内广播。SC针对每个车站设备网络适配器的Mac地址,组织不同的Magic Packet,设备接收到与自身网络适配器Mac地址相同的Magic Packet,即自动唤醒ECU,ECU启动后,通过电源模块控制启动设备的各个模块。并启动设备程序,完成远程自动开机。

SC在车站设备启动时,可通过Arp协议自动搜索每台设备的Mac地址,并保存在数据库中,通常在设备安装后搜索一次,在设备网络适配器没有更换的情况下,SC可通过保存的Mac地址控制唤醒对应的设备。SC提供设备Mac地址的查询,在设备维修更换网络适配器时,需要重新搜索设备的Mac地址,更新设备的Mac地址,以确保远程开机功能可正常执行[3]。

4 设备远程开关机系统的实现流程

4.1 设备远程关机流程

主控单元接收到SC控制中心发过来的关机指令后,先通知电源控制器按照预先设定的流程,逐一关闭设备内部各单元的电源。电源控制器完成操作后,向主控单元响应一个操作正确的应答,否则响应一个操作错误的应答。主控单元收到应答后,向SC控制中心报告设备关闭状态,然后等待控制中心的响应。SC收到状态报告,向设备发送一个确认,主控单元收到确认立即关机。关机后,网卡处于Magic Packet帧检测状态。

设备关机流程如图2所示。

具体为:(1)SC发送设备关机命令;(2)设备ECU控制电源模块关闭各模块电源;(3)关闭ECU。

设备关机的通信流程及状态报告如图3所示。

4.2 设备远程开机流程

设备远程开机需要网络唤醒,需网络唤醒的设备必须使用符合ATX 2.01标准的ATX电源,同时网卡处于Magic Packet帧检测状态。控制单元的网卡收到和自己MAC相匹配的Magic Packet帧后,使能一个信号电源加电,控制单元启动。控制单元启动后,立即向SC控制中心发送一个”主控单元唤醒”确认,以表明网络唤醒的阶段一成功。接着主控单元发送指令到电源控制模块,指示开启设备内部子单元电源。电源模块操作完成后发送一个响应指令给主控单元。主控单元在根据各设备的初始化情况向SC控制中心提交一个报告,设备唤醒成功[3]。

设备开机流程如图4所示。

(1)SC广播Magic Packet;

(2)对应的设备网络适配器唤醒ECU;

(3)ECU加电启动,控制电源模块逐一启动各个模块;

(4)启动设备业务程序。

设备通信及状态报告流程图如图5所示。

5 结束语

以上方案用于实践时首先须对网络唤醒数据包、电源控制器控制流程进行详细分析,除此之外还应有充分的测试过程,避免在设备较多时,网络唤醒数据包的广播造成网络阻塞而唤醒不成功的现象,根据测试结果需有相应的解决措施,再次测试无误后方可用于现场[4]。

参考文献

[1]邓先平,陈凤敏我国城市轨道交通自动售检票系统的现状及发展[J].都市快轨交通,2005,18(3):18-21.

[2]赵时旻.轨道交通自动售检票系统[M].上海:同济大学出版社,2007.

[3]DGJ08-111-2004C.城市轨道交通信息传输系统技术规范[S].

本文来自 360文秘网(www.360wenmi.com),转载请保留网址和出处

【eda课设自动售邮票机】相关文章:

邮票大王作文05-10

邮票目录范文05-18

中国邮票目录范文06-04

中国邮票目录大全07-27

邮票设计的教学反思04-20

邮票的作文500字04-24

邮票中的故事05-15

邮票小学美术教案05-18

自动售卖机系统方案05-08

精美的邮票说课稿04-12

上一篇:洗浴中心服务流程下一篇:威风三年级作文