多路抢答器设计报告

2024-04-25

多路抢答器设计报告(精选6篇)

篇1:多路抢答器设计报告

一、概述

大学四年的学习生活中,会遇到各种各样的竞赛,抢答器便成为了主要的工具之一。而现在的抢答器智能化越来越强,这必然会提高抢答器的成本。本抢答器与其他抢答器电路相比较成本低、制作方便,并且还有作弊显示功能。因此,这款四路抢答器摒弃了成本高、体积大、操作复杂等不足。我们采用了数字显示器直接指示,因而本抢答器具有显示直观,操作简单的特点。

二、主要功能及技术指标

抢答器的工作原理是用矩阵式键盘进行抢答。采用动态显示组号。主持人按下开始抢答键才可以抢答。主持人没有按下开始抢答按纽(P3.2),有人抢答则抢答违规,报警并显示组号,主持人按下开始抢答开关重新抢答。主持人按下开始抢答按纽(P3.2),蜂鸣响声提示,且数码管10秒倒计时(10秒内抢答有效),有人在10秒抢答,蜂鸣器响声提示并显示他的组号,3秒开始20秒倒计时(20秒内必须回答完问题)。20秒后主持人按下复位开关为下一题的抢答做准备。单片机最小系统、抢答按键模块(四位并行数码显示、1*4矩阵式键盘)、显示模块、抢答开关模块、蜂鸣器输出模块。

三、系统组成及原理

1、分图

四、软件

1、分配流程图

初始化开始

20秒回答问题时间到并响声提示调用读键子程序作为延时程序设定定时器初值并启动定时器中断条件是否满足Y开中断并响声提示NN读键盘是否有键按下Y调用显示抢答违规并报警子程序报警提示编号10秒抢答倒计时开始显示显示违规者编号是否有按键按下NY调用抢答者获得回答的子程序10到时中断并返回响声提示设定定时器初值并启动显示抢答者并且3秒后倒计时RET3

2、源程序

ORG 0000H LJMP MAIN ORG 0013H LJMP ESS1 ORG 0100H MAIN:SETB EA SETB EX1 SETB IT1;外部中断1初始化 L16:MOV P1,#0FFH MOV R2,#00H CLR P1.0 INC R2 JB P1.4,L0 LCALL DE0 L0:INC R2 JB P1.5,L1 LCALL DE0 L1:INC R2 JB P1.6,L2 LCALL DE0 L2:INC R2 JB P1.7,L3 LCALL DE0 L3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,L4 LCALL DE0 L4:INC R2 JB P1.5,L5 LCALL DE0 L5:INC R2 JB P1.6,L6 LCALL DE0 L6:INC R2 JB P1.7,L7 LCALL DE0 L7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,L8 LCALL DE0 L8:INC R2

JB P1.5,L9 LCALL DE0 L9:INC R2 JB P1.6,L10 LCALL DE0 L10:INC R2 JB P1.7,L11 LCALL DE0 L11:SETB P1.2 LJMP L16;读行列式键盘 ESS1:MOV 70H,#30D;外部中断1 MOV R7,#0CH CLR P3.0 S2:LCALL DELAY DJNZ 70H,S2 SETB P3.0;蜂鸣器提示开始抢答 MOV TMOD,#00010000B MOV R3,#0AH L20:MOV 55H,#14H L19:MOV TH0,#3CH MOV TL0,#0B0H;定时器1初始化 SETB TR1;启动定时器1 MOV A,R3 MOV B,#0AH DIV AB MOV DPTR,#TAB MOVC A,@A+DPTR MOV 53H,A CLR P2.4 MOV P0,53H LCALL DELAY1 SETB P2.4 MOV A,B MOVC A,@A+DPTR MOV 54H,A CLR P2.5 MOV P0,54H LCALL DELAY1 SETB P2.5 L18:JNB TF1,L18 CLR TF1 DJNZ 55H,L19 DEC R3 CJNE R7,#00H,D6

LJMP D5 D6:CJNE R3,#0FFH,L21 LJMP L22 L21:LJMP L20;抢答倒计时 L22:MOV 73H,#02D S5:MOV 70H,#20D MOV 71H,#20H CLR P3.0 S4:LCALL DELAY DJNZ 70H,S4 SETB P3.0 S6:LCALL DELAY DJNZ 71H,S6 DJNZ 73H,S5;抢答倒计时时间到声音提示 D5:RETI DE0:MOV DPTR,#TAB;抢答违规报警并显示抢答违规组号 MOV A,R2 MOV B,#0AH S10:MOV 72H,#20D MOV 73H,#10D CLR P3.0 S8:LCALL LCC DJNZ 72H,S8 SETB P3.0 S9:LCALL LCC DJNZ 73H,S9 DJNZ 71H,S10 L17:LCALL LCC LJMP L17 LCC:CLR P2.4 MOV P0,50H LCALL DELAY DELAY1:MOV P1,#0FFH;正常抢答读键 MOV R4,#250D W17:MOV R2,#00H CLR P1.0 INC R2 JB P1.4,W0 LCALL DE1 W0:INC R2 JB P1.5,W1 LCALL DE1 W1:INC R2 JB P1.6,W2 LCALL DE1 W2:INC R2 JB P1.7,W3 LCALL DE1 W3:SETB P1.0 CLR P1.1 INC R2 JB P1.4,W4 LCALL DE1 W4:INC R2 JB P1.5,W5 LCALL DE1 W5:INC R2 JB P1.6,W6 LCALL DE1 W6:INC R2 JB P1.7,W7 LCALL DE1 W7:SETB P1.1 CLR P1.2 INC R2 JB P1.4,W8 LCALL DE1 W8:INC R2 JB P1.5,W9 LCALL DE1 W9:INC R2 JB P1.6,W10 LCALL DE1 W10:INC R2 JB P1.7,W15 LCALL DE1 W15:SETB P1.3 DJNZ R4,W16 LJMP W18 W16:LJMP W17 W18:RET DE1:MOV P1,#0FFH;MOV 70H,#20D CLR P3.0 S3:LCALL DELAY DJNZ 70H,S3 SETB P3.0 SETB P2.0 抢答成功声音提示及回答问题时间20秒倒计时7

SETB P2.1 MOV DPTR,#TAB MOV A,R2 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 56H,A MOV A,B MOVC A,@A+DPTR MOV 57H,A MOV TMOD,#00000001B MOV R5,#16H L32:MOV R6,#14H L31:MOV TH0,#3CH MOV TL0,#0B0H SETB TR0 CLR P2.4 MOV P0,56H LCALL DELAY SETB P2.4 CLR P2.5 MOV P0,57H LCALL DELAY SETB P2.5 CJNE R5,#14H,L34 LJMP L35 L34: JC L35 LJMP L30 L35: MOV A,R5 MOV B,#0AH DIV AB MOVC A,@A+DPTR MOV 58H,A MOV A,B MOVC A,@A+DPTR MOV 59H,A CLR P2.6 MOV P0,58H LCALL DELAY SETB P2.6 CLR P2.7 MOV P0,59H LCALL DELAY SETB P2.7 L30:JNB TF0,L30 CLR TF0 DJNZ R6,L31 DEC R5 CJNE R5,#0FFH,L32 MOV P1,#0FFH MOV 70H,#50D CLR P3.0 S7:LCALL DELAY DJNZ 70H,S7 SETB P3.0 MOV P2,#0FFH MOV R3,#00H MOV R7,#00H RET DELAY:MOV 51H,#10D;延时子程序 D0:MOV 52H,#248D D1:DJNZ 52H,D1 DJNZ 51H,D0 RET TAB:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END

五、分析

这次实训遗憾的是,我的实训材料是买的成品的板,没有自己去焊制自己的实训板,当然这节省了一些时间,但是,在原理图上确实也花费了一些时间。对于四路抢答器的程序方面,也有需要改进的地方,有很多的不合适的地方,比如,在违规抢答倒计时方面,当你违规抢答时,也会出现20秒的倒计时,和正常抢答的一样。所以,在以后的编程和调试过程中还应该注意这种小的毛病。

六、体会

本次实训使我对单片机有课更加深入的了解,以及对汇编语言的编程有了更进一步的体会,知道在编程的过程中会有大量的错误产生,一次一次的修改,有时真的比较麻烦。在最初读程序的过程中,也遇到过大量的程序读不懂,在编程的过程中,确实话费了很多的时间。

在此我非常要感谢的是各位知道老师不遗余力的指导,同样也非常感谢同学的帮助,在我不懂程序时帮助我完善程序。这次实训能够顺利的完成,当然与我个人的努力也是分不开的。

七、参考文献

[1]张鑫《单片机原理及应用》电子工业出版社2010年

[2]李泉溪《单片机原理与应用实例仿真》北京航天航空大学出版社 2009年

[3] 张洪润《单片机应用设计200例》北京航空航天大学出版社2006年 [4] 万光毅《单片机实验与实践教程》北京航天航空大学出版社2003年

篇2:多路抢答器设计报告

多路智力竞赛抢答器设计报告书

课程名称:多路智力竞赛抢答器 系部名称:电气与信息工程系 专业名称:电子信息工程技术 班级名称:电信0702 设计人 :裴书茂 学号 :402070220 指导老师: 设计时间:

湖南工学院

(课程设计任务书目录)

前言 „„„„„„„„„„„„„„„„ 1 设计功能要求 „„„„„„„„„„„„„„ 2 抢答器框架设计 „„„„„„„„„„„„„ 3 电路设计 „„„„„„„„„„„„„„„ 4 1)抢答电路设计 „„„„„„„„„„„„ 4 2)定时电路设计 „„„„„„„„„„„„ 53)报警电路设计

„„„„„„„„„„„„ 64)时序控制电路设计 „„„„„„„„„„ 7元器件介绍 „„„„„„„„„„„„„„„„ 8 1)74LS148功能介绍 „„„„„„„„„„„ 8 2)74LS192功能介绍 „„„„„„„„„„„ 9 仿真电路实验 „„„„„„„„„„„„„„„„ 10 1)Proteus仿真电路图 „„„„„„„„„„实物制作 „„„„„„„„„„„„„„„„„ 11 1)原理图 „„„„„„„„„„„„„„„ 11 2)PCB制图 „„„„„„„„„„„„„„„ 12 3)焊接与调试 „„„„„„„„„„„„„„ 13 心得体会 „„„„„„„„„„„„„„„„„ 15 鸣谢 „„„„„„„„„„„„„„„„„„„ 15 参考资料 „„„„„„„„„„„„„„„„„ 15

前 言

随着电子技术的飞跃发展,社会发展步入了信息时代,随着信息时代对人才高素质和信息化的要求,随着高等教育发展的趋势,人们的生活水平提高,对精神文明生活的要求也跟着提高,这对电子领域提出了跟更高的要求。

电子学是一门应用很广泛的科学技术,发展及其迅速。要想学好这门技术,首先是基础理论的系统学习,然后要技术训练,进而培养我们对理论联系实际的能力,设计电路的能力,实际操作的能力,以及培养正确处理数据、分析和综合实验结果、检查和排除故障的能力。同时也加深我们对电子产品的理解。

智力抢答器的设计和测试作为此次课程设计的课题,我们采用一般意义上的设计方案,即采用集成芯片构成电路。

数字电路具有很多的有点:(1)便于高度集成化。由于数字电路采用二进制,因此单元电路的结构简单,允许电路参数有较大的离散性,便于集成。

(2)工作可靠性高,抗干扰能力强。数字信号用二进制表示,数字电路的识别能力强。

(3)(4)(5)数字信息便于长期存放。

数字电路集成产品多,通用性强,成本低。

保密性好。数字信息容易进行加密处理,不易被窃取。

设计功能要求

一、功能要求

1、设计制作一个可容纳5组参赛的数字式枪答器,每组设置一个抢答按钮供抢答者使用。

2、给主持人设置一个控制开关,用来控制系统的清零和抢答的开始。

3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号(1~5),同时扬声器给出音响提示。同时禁止其他选手抢答。

4、抢答器具有定时抢答的功能,抢答时间设定为30秒,当主持人按下开始按钮时,定时器立刻倒计时,并显示。选手在设定的时间内抢答有效。超过时间抢答无效,定时显示器显示00。

二、设计步骤与要求

1、拟定电路的组成框图,要求能实现所有功能,使用的元器件少,成本低。

2、设计并安装电路,要求布线整齐、美观,便于级联和调试。

3、测试所设计抢答器的逻辑功能,满足各项功能要求。

4、画出整机逻辑电路图。

5、写出设计报告。

抢答器框架设计

定时抢答器的总体框图(如图

1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

图1 如图所示为总体方框图。工作原理为:接通电源后,主持人将开关拨到“清零”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始“状态,宣布“开始”抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

电路设计

一、抢答电路设计

设计电路如图2所示。电路选用优先编码器 74LS148 和锁存器 74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。

图2 工作过程:开关S置于”清除“端时,RS触发器的 R、S端均为0,4个触发器输出置0,使74LS148的优先编码工作标志端(图中5号端)=0,使之处于工作状态。当开关S置于”开始“时,抢答器处于等待工作状态,当有选手将抢答按键按下时(如按下S5),74LS148的输出经RS锁存后,CTR=1,RBO(图中4端)=1,七段显示电路74LS48处于工作状态,4Q3Q2Q=101,经译码显示为“5”。此外,CTR

=1,使74LS148 优先编码工作标志端(图中5号端)=1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为CTR=1,使优先编码工作标志端(图中5号端)=1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置“清除”然后再进行下一轮抢答。74LS148为8线-3线优先编码器,表1为其功能表。

表1

二、定时电路设计

节目主持人根据抢答器的难易程度,设计一次抢答的时间,通过预置时间电路对计数器进行预置,选用十进制同步加减计数器74LS192进行设计,计数器的时钟脉冲由秒脉冲电路提供。具体电路如图3。

原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号由时钟产生电路提供。原理及设计:该部分主要由555定时器秒脉冲产生电路、十进制同步加减计数器74LS192减法计数电路、74LS48译码电路和2个7段数码管即相关电路组成。具体电路如图3所示。两块74LS192实现减法计数,通过译码电路74LS48显示到数码管上,其时钟信号

图3 由时钟产生电路提供。按键弹起后,计数器开始减法计数工作,并将时间显示在共阴极七段数码显示管DPY_7-SEG 上,当有人抢答时,停止计数并显示此时的倒计时时间;如果没有人抢答,且倒计时时间到时,输出低电平到时序控制电路,控制报警电路报警,同时以后选手抢答无效。结合我们的实际经验及考虑到元器件的成本,我们选择的电阻值为R1=15K,R2=68K,C=10uF,代入到上式中即得,即秒脉冲。

三、报警电路设计

由555 芯片构成多谐振荡电路 ,555 的输出信号再经三极管放大 ,从而推动扬声器发声。

控制电路包括时序和报警两个电路 ,如图4 所示。控制电路需具有以下几个功能。

主持人闭合开关扬声器发声 ,多路抢答器电路和计时电路进入正常状态;参赛者按键时 ,扬声器发声 ,抢答电路和计时电路停止工作;抢答时间到 ,无人抢答 ,扬声器发声 ,抢答电路和计时电路停止工作

图4

四、时序控制电路设计

时序控制电路是抢答器设计的关键,它要完成以下三项功能。

1)主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。

2)当参赛选手按动抢答按键时,扬声器发声,抢答电路和定时电路停止工作。

图5 3)当设定的抢答时间到,无人抢答时,扬声器发声,定时和定时电路停止工作。

根据上面的功能要求,设计的时序控制电路如(图5)所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图11、4的工作原理是:主持人控制开关从”清除“位置拨到”开始"位置时,来自于(图2)中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出 =0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现(功能3)的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间。

元器件介绍

一、74LS148功能介绍

在优先编码器电路中,允许同时输入两个以上编码信号。不过在设计优先编码器时,已经将所有的输入信号按优先顺序排了队。在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。74148是一个八线-三线优先级编码器。

如图6所示的是八线-三线编码器74148的管脚图引脚图。

图6

二、74LS192功能介绍

74LS192是双时钟方式的十进制可逆计数器。

CPU为加计数时钟输入端,CPD为减计数时钟输入端。LD为预置输入控制端,异步预置。CR为复位输入端,高电平有效,异步清除。CO为进位输出:1001状态后负脉冲输出。BO为借位输出:0000状态后负脉冲输出。

图7

图8

仿真电路实验

一、Proteus仿真电路图

仿真电路课实现抢答功能,由于软件局限,报警电路没有在仿真当中。

实物制作

一、原理图

二、PCB制图

三、焊接与调试

在制板中最重要的一个环节就是线路的印制。在这次的制作中,由于打印的客观原因,使在转印的效果不是很好。做出的实物没有预期的好。这也是以后一定要注意的地方。

1)焊接部分需要注意的是:

严格按照线路的设计进行焊接,首先焊接过孔,在对元器件进行焊接。焊接时间不宜过久,但要完全熔着,以免造成冷焊。焊点的表面要平滑、有光泽。焊点完全冷却前,不可移动。电烙铁不用时要放置于电烙铁架上,并随时保持烙铁头的清洁。焊接完毕,要在烙铁头镀上薄层焊锡,避免氧化,并等冷却后再收存。

2)调试部分需要注意的是:

在通电之前必须对照原理图对线路进行详细的检查。检查是否有虚焊或者为焊接的点。可用万用表进行线路检查,看是否有线路在焊接的时候不慎连接在了一起,如有进行修改。在检查完毕之后才可以通电测试。如果在通电之后任然有问题,应立即断电,再仔细检查。

心得体会

通过这次设计,我的理论知识掌握得更扎实,动手能力明显提高。同时,通过网上搜索等多方面的查询资料,我学到许多在书本上没有的知识,也认识到理论联系实践的重要。在制作当中遇到了许多以前没遇到的困难。我们利用许多的方法去解决所遇到的问题。制作好以后,虽然基本符合设计要求,但我们总觉得欠缺点什么。这次设计,让我感受最深是。在仿真的阶段遇到很多的问题,计时电路不能随抢答而停止,还有就是由于软件的局限在仿真时候没有完成整体电路的调试,这也为最后的实物调试造成了困难。我们一定要具备一定的检查、排除电路故障的能力。我深刻认识到了“理论联系实际”的这句话的重要性与真实性。而且通过对此课程的设计,我不但知道了以前不知道的理论知识,而且也巩固了以前知道的知识。最重要的是在实践中理解了书本上的知识,明白了学以致用的真谛。在整个设计到电路的焊接以及调试过程中,我个人感觉调试部分是最难的,由于在印制电路板的时候效果不好出现很多的断线,这让整个焊接和调试很麻烦。调试是一个经验的积累过程,没有经验是不可能在短时间内将其完成的,可能也是老师要求我们加以提高的一个重要方面吧!

鸣谢

感谢老师的耐心指导;

感谢同学的帮助;

感谢湖南工学院电信系实验老师的大力支持;

感谢湖南工学院对我的支助。

参考文献

宋树祥《高频电子线路》北京大学出版社

篇3:用数字电路实现多路抢答器的方法

关键词:数字电路,多路,抢答器,工作原理,设计

一、多路抢答器的工作原理和功能

当今社会竞争日益激烈, 选拔人才, 评优选胜, 知识竞赛之类的活动更加的频繁。特别是在知识竞赛中, 往往会有几组参赛选手或参赛队伍参加, 对于主持人提出的问题, 如果通过抢答者举手的方式, 这样容易出现误判的现象, 给比赛造成了一定的不公平性。所以, 为了让知识竞赛能够在一个公平、公正、公开且准确的环境下进行, 就需要利用一种抢答设备来担当裁判员, 而这种设备就是多路抢答器。多路抢答器的应用非常广泛, 早期的抢答器只是由几个三极管、发光管、可控硅等组成, 通过发光管的指示辨认出选手号码。而现在的抢答器大多是使用单片机或者数字集成电路来实现, 添加了一些新的功能, 例如选手抢答前后所花费的时间、抢答选手的得分显示等。多路抢答器是由主体电路和扩展电路组成的, 优先编码电路、译码电路、锁存器将参赛队的输入信号在显示器上输出。用控制电路和主持人开关启动报警电路, 控制电路和报警电路两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出, 实现计时的功能, 这样就能够构成扩展电路。

一个典型的十路抢答器的电路图如上图1所示。该电路图可以分为三个部分, 第一个部分是控制电路, 其中S1—S10为十个常开开关, 当作十路抢答器的抢答按钮, S11是常闭开关, 作为复位按钮, S12为电源开关, 调整设备的状态为关闭或者运行;第二个部分是自锁和指示电路, 其中D1—D11是二极管, V1—V20是三级管, H1—H10是指示灯, 分别组成十个自锁和指示电路;第三个部分是自激振荡电路, 其中V21、V22、C、R4组成自激振荡电路, 自激振荡电路通过扬声器B发出提示的声音。通过对十路抢答器电路图的介绍, 可以看出, 数字电路抢答器的针对性很强, 具有很高的实用性和可靠性, 而且相对其他类型的抢答器来说, 经济成本也不是很高。而且, 通过用数字电路来设计多路抢答器, 还能够考察学生对于数字电路相关知识的掌握能力, 提高个人的创新能力。

二、利用数字电路相关知识设计多路抢答器

在许多的高校的日常活动中, 抢答活动非常多而且很有趣, 参与到抢答活动的选手也会比较多, 但是, 市面上8路以上的抢答器很少, 而且价格又贵, 一般一个4路的抢答器都至少要800元以上。如果为了一个小小的活动去购置一个多路抢答器的话, 会很不划算。所以, 学校可以利用数字电路相关的知识组织学生来设计多路抢答器, 这样就可以当作一项实训课题来研究, 也可以为学校的抢答活动提供抢答设备, 而且还能够激发学生的学习兴趣, 培养学生的动手能力。以下就是利用数字电路相关知识设计多路抢答器的一个方法:

1. 基本功能

首先, 要清楚设计的多路抢答器需要具备哪些功能。第一, 要能够同时供16个代表队参加比赛;第二, 要给节目主持人一个控制开关, 用它来控制系统清零和抢答开始;第三, 要具有计时抢答的功能, 具体的抢答时间可以有主持人定;第四, 要能够显示优先抢答的代表队的编号, 同时给出提示, 禁止其他选手抢答;第五, 定时时间到了, 如果没人抢答的话, 本次抢答无效。

2. 总体框图

根据设计要求可以得到如下图2所示的组成框图:

3. 电路设计

通过功能分析之后, 设计者需要对抢答电路、定时电路、报警电路、时序控制电路和电源电路五大电路进行设计, 具体的设计方法如下:

(1) 抢答电路。抢答电路的作用是分辨出选手抢答的先后, 并且选定优先抢答的选手编号进行锁存, 将其编号显示出来, 同时还要让其他选手的按键无效。所以, 可以选用优先编码器74LS148和锁存器74LS297来完成, 而且该抢答器需要两个74LS148串接起来, 构成16-4线的优先编码器, 这样就能够实现16路选手抢答。另外, 编码器的输出要接锁存器, 然后再接一个加法电路, 在将二进制码转换成十进制码的同时, 能够把编号变为1-16号。

(2) 定时电路。定时电路的作用是能够让主持人根据每道题的难易程度设置抢答的时间, 可以选用十进制计数器74LS192进行设计, 同时设计一个秒脉冲电路给计数器提供时钟脉冲。

(3) 报警电路。报警电路的主要作用是提示选手答题开始抢答和抢答时间到。可以利用555芯片构成一个多谐振荡电路, 另外再把555的输出信号通过三极管放大, 这样就能够推动扬声器发声了。

(4) 时序控制电路。时序控制电路的作用是控制抢答的时序状况, 由主持人闭合开关, 扬声器发声, 从而进入到抢答电路和计时电路, 选手按键时, 扬声器发声, 抢答电路和计时电路也停止工作。

(5) 电源电路。电源电路的作用是切换设备的两个状态运行和停止。可以采用集成稳压电路, 电源器件使用78XX系列, 使用比较方便, 整流滤波电路由分立元件组成。

三、结束语

本文介绍了多路抢答器的工作原理和功能, 分析了如何为高校抢答活动设计一个16路的抢答器。对于帮助高校学生利用数字电路相关知识设计多路抢答器具有一定的借鉴作用, 同时通过设计多路抢答器, 还能够激发学生学习数字电路的学习兴趣, 提高学生的动手能力和创造力。

参考文献

[1]刘开绪.可编程逻辑器件在抢答器电路设计中的应用[J].沈阳工业大学学报, 2007, 29 (1) :103-106

[2]胡丹.基于VHDL的智力竞赛抢答器的设计与实现[J].现代机械, 2007 (, 3) :54-55

篇4:多路抢答器设计报告

摘 要:针对实际应用的需要,利用可编程逻辑器件设计了抢答器。该抢答器单元电路的软件设计利用硬件描述语言设计完成。设计了控制主电路、数字显示电路、编码译码电路功能,并利用Quartus工具软件完成了编译仿真验证;硬件选择FLEX10K系列的EPF10K10LC84-4芯片来实现抢答器的系统功能。该抢答器具有很强的功能扩充性,应用效果良好。

关键词:可编程逻辑器件;抢答器;硬件描述语言;仿真;系统可编程

中图分类号:TM571.61

1 FLEX10K

FLEX10K系列器件首次采用嵌入式阵列,其容量高达25万门。由于它的高密度和易于在设计中实现复杂宏函数和存储器,因此可以把一个子系集成在单一芯片上,而每个FLEX10K器件都包含一个嵌入式阵列,每个嵌入式阵列可以实现专用的功能,因此嵌入式阵列可以减少芯片的体积,使其运行速度更快,使用更灵活。

2 VHLD硬件描述语言简介

VHDL最早是由美国国防部提出的。作为硬件描述语言的第一个国际标准,VHDL描述电路行为的算法有很多优点:

(1)设计层次较高,用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期。(2)独立实现、修改方便。(3)移植性强,其源代码已成为一种输入标准,可用于各种不同的EDA工具。(4)VHDL类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。

3 QuartusⅡ简介

Altera的QuartusⅡ设计软件继承了MAX+plusⅡ的所有优点,是更加完善的PLD设计工具。它提供了完整的多平台设计环境,能够直接满足特定设计需要,为可编程芯片系统(SOPC)提供全面的设计环境。

4 设计思路

设计制作一个竞赛抢答器,每组受控于一个抢答按键,分别为s0、s1、s2、s3,设置主持人控制键rst,用于控制整个系统清零和抢答有效控制。按下复位键时,系统清零;抬起复位键时,抢答开始;系统具有第一抢答信号鉴别和锁存功能。在主持人将系统复位并使抢答有效开始后,第一抢答者按下抢答按钮,电路应记忆下第一抢答者的组别,并封锁其他各组的按钮,即其他任何一组按键都不会使电路响应;系统以两种方式指示第一抢答者:一是通过对应的输出引脚让数码管显示组别号;二是在第一抢答者产生时,蜂鸣器响起。

5 原理分析

5.1 抢答器鉴别模块

在这个模块中主要实现抢答过程中的抢答功能,还能记录抢答者的台号,并且能实现当有一路抢答按键按下时,其余各路被封锁的功能。其中有四个抢答信号s0、s1、s2、s3;抢答使能信号s;抢答状态显示信号states;抢答与警报时钟信号clk2;系统复位信号rst;警报信号tmp。

5.2 报警模块(已包含在抢答鉴别模块里)

在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有人抢答时蜂鸣器开始报警,有效电平输入信号i;状态输出信号q;计数脉冲clk2。

5.3 译码模块

在这个模块中主要实现抢答过程中将选手的台号转换成二进制码并送给数码管显示。

各模块程序如下:

抢答鉴别模块:

library ieee;--抢答鉴别模块

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity qdjb is

port(rst,clk2:in std_logic;

s0,s1,s2,s3:in std_logic;

states:buffer std_logic_vector(3 downto 0);

tmp:out std_logic);

end qdjb;

architecture one of qdjb is

signal st:std_logic_vector(3 downto 0);

begin

p1:process(s0,rst,s1,s2,s3,clk2)

begin

if rst='0' then

tmp<='0';st<="0000";

elsif clk2'event and clk2='1' then

if (s0='1' or st(0)='1')and not( st(1)='1' or st(2)='1' or st(3)='1' ) then st(0)<='1';

end if ;

if (s1='1' or st(1)='1')and not( st(0)='1' or st(2)='1' or st(3)='1' ) then st(1)<='1';

end if ;

if (s2='1' or st(2)='1')and not( st(0)='1' or st(1)='1' or st(3)='1' ) then st(2)<='1';

end if ;

if (s3='1' or st(3)='1')and not( st(0)='1' or st(1)='1' or st(2)='1' ) then st(3)<='1';

end if ;

tmp<=s0 or s1 or s2 or s3;

end if ;

end process p1;

p2:process(states(0),states(1),states(2),states(3))

begin

if (st="0000") then states<="0000";

elsif (st<="0001") then states<="0001";

elsif (st<="0010") then states<="0010";

elsif (st<="0100") then states<="0011";

elsif (st<="1000") then states<="0100";

end if;

end process p2;

end one;

译码模块:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY YMQ IS

PORT(AIN4: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DOUT7: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END YMQ;

ARCHITECTURE ART OF YMQ IS

BEGIN

PROCESS(AIN4)

BEGIN

CASE AIN4 IS

WHEN "0001"=>DOUT7<="0110000"; --1

WHEN "0010"=>DOUT7<="1101101" --2

WHEN "0011"=>DOUT7<="1111001"; --3

WHEN "0100"=>DOUT7<="0110011"; --4

WHEN OTHERS=>DOUT7<="0000000";

END CASE;

END PROCESS;

END ARCHITECTURE ART;

6 结束语

本文利用硬件描述高级语言VHDL设计的优点完成了对应单元的电路设计,使系统较为简洁合理。利用复杂可编程器件实现了抢答器的系统功能,使电路引脚定义自如,实际印板布线灵活,应用可靠。通过以实例可以看出,用VHDL语言设计数字系统,是自上至下地进行设计,十分方便灵活。由于VHDL具有并行同时语句,设计的电路延迟小。

参考文献:

[1]谭会生,瞿遂春.EDA技术综合应用实例与分析[M].西安:西安电子科技大学出版社,2004.

[2]李国洪,胡辉,沈明山.EDA技术与实验[M].北京:机械工业出版社,2008.

篇5:多路抢答器设计报告

1.设计任务和要求…………………………………….3 2.设计方案…………………………………………….3 2.1 设计思路………………………………………3 2.2 设计原理………………………………………4 2.3 实现功能………………………………………4 3.硬件设计…………………………………………….5 3.1 各功能电路连线图……………………………5 3.2 框图和说明……………………………………6 4.软件设计…………………………………………….7 5.小结………………………………………………….8 6.参考文献…………………………………………….9

设计任务与要求

1.1 可同时供四名选手参赛,其编号分别是1-4,各用一个抢答按钮,按钮的编号和选手的编号相对应,给节目主持人设置一控制开关,用于控制系统的清零(编号显示数码管灭灯)抢答的开始。

1.2

抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按抢答按钮,其编号立即所存,并在数码管上显示该选手的编号,同时封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人主持人将系统清零为止。设计方案 2.1 设计思路 2.1.1 在给定

5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢答器,每组设定一个抢答按钮供参赛者使用。

2.1.2 设置一个系统清零和抢答控制开关K(该开关由主持人控制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。

2.1.3 抢答器具有一个抢答信号的鉴别、锁存及显示功能。即有抢答信号输入(参赛者的开关中任意一个开关被按下)时,锁存相应的编号,并在LED数码管上显示出来,同时扬声器发生声响。此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。

2.1.4 开关K按下后,系统清零,由主持人发令,开始抢答。2.2 设计原理

2.2.1原理图

2.2.2 设计原理

接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置“开始“状态,宣布”开始“抢答器工作。选手在抢答时,抢答器完成:优先判断、编号锁存、编号显示、亮灯提示。如果再次抢答必须由主持人再次操作”清除“和”开始"状态开关。

2.3 实现功能

一是选手按抢答按钮,其编号立即所存,并在数码管上显示该选手的编号。二是封锁输入电路,禁止其他选手抢答。

三是优先抢答选手的编号一直保持到主持人主持人将系统清零为止。硬件设计

3.1本课程设计,需要用集成电路:

74LS148,74LS279,74LS48和五个开关及其他元件,3.2各功能电路接线图

电路连线图

3.2 框图和说明

3.2.1 元器件

74LS48管脚图

74LS148真值表

74LS148功能介绍

在优先编码器电路中,允许同时输入两个以上编码信号。不过在设计优先编 码器时,已经将所有的输入信号按优先顺序排了队。在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。74148是一个八线-三线优先级编码器。3.2.3 说明:

当主持人控制开关S按下时,RS触发器的R端均为“0”,4个触发器输出1Q-4Q全部为零,同时74LS148的选通输入端EI=0,使之处于工作状态,此时锁存电路不工作。当主持人将开关“S”抬起时,优先编码器处于工作状态,即抢答器处于等待工 5 作状态,等待信号输入端信号输入,当有选手按下时,比如“S0”按下时,74LS148的输出Y2Y1Y0=000, 经RS锁存后,BI=1,74LS279处于工作状态,4Q3Q2Q=A2A1A0=000,经74LS48译码后,显示器显示“0”.软件设计

4.1 优先编码器工作原理

74LS148工作原理:该编码器有8个信号输入端,3个二进制码输出端。此外,电路还设置了输入使能端EI,输出使能端EO和优先编码工作状态标志GS。当EI=0时,编码器工作;而当EI=1时,则不论8个输入端为何种状态,3个输出端均为高电平,且优先标志端和输出使能端均为高电平,编码器处于非工作状态。这种情况被称为输入低电平有效,输出也为低电来有效的情况。当EI为0,且至少有一个输入端有编码请求信号(逻辑0)时,优先编码工作状态标志GS为0。表明编码器处于工作状态,否则为1。由功能表可知,在8个输入端均无低电平输入信号和只有输入0端(优先级别最低位)有低电平输入时,A2A1A0均为111,出现了输入条件不同而输出代码相同的情况,这可由GS的状态加以区别,当GS=1时,表示8个输入端均无低电平输入,此时A2A1A0=111为非编码输出;GS=0时,A2A1A0=111表示响应输入0端为低电平时的输出代码(编码输出)。EO只有在EI为0,且所有输入端都为1时,输出为0,它可与另一片同样器件的EI连接,以便组成更多输入端的优先编码器。从功能表不难看出,输入优先级别的次为7,6,„„,0。输入有效信号为低电平,当某一输入端有低电平输入,且比它优先级别高的输入端无低电平输入时,输出端才输出相对应的输入端的代码。小结

本学期第十五周我们进行了电子技术课程设计,我们用一周的时间进行了资料查找和实体设计,然后认真写了设计说明。

本电路由锁存器,编码器,数码管等构成,实现各项锁存,清零等功能。总结如下: 优点:电路功能原理清晰,各项功能均达到了要求,显示准确,反 应灵敏,无竞争冒险现象,基本满足了普通竞赛的抢答要求。缺点:如果长按住按钮不放,主持人清零后将能获得抢答权。改进:可以更改促发器的类型,如使用jk触发器代替,则长按无效,或者在抢答端添加一个发光二极管,当有人作弊,二极管就会亮,从而阻止选手长按按钮的缺陷。心得体会:通过这次课程设计,我对于74L系列有了更深的了解,知道功能表后,一切芯片都能得心应手。而且,知道了抢答器的设计方法,以后可以设计任何多人抢答器。同时实物的制作也提升了我的动手能力,实践能力得到了一定的锻炼。在摸索该如何设计电路使之实现所需功能的过程中,培养了我的设计思维,增强了动手能力。在改进电路的过程中,同学们共同探讨,最后的电路已经比初期设计有了很大提高。在让我体会到了设计电路的艰辛的同时,更让我体会到成功的喜悦和快乐,加深了我对设计方面的兴趣。理论与实践得到了很好的结合。参考文献

篇6:多路抢答器设计报告

[要点提示]

一、设计任务与要求

二、预习要求

三、实验原理

四、实验仪器设备

五、实验内容及方法

六、实验报告

七、思考题 [内容简介]

一、设计任务与要求

1.抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。

2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。

4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。

5.参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。

6.如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

二、预习要求

1.复习编码器、十进制加/减计数器的工作原理。2.设计可预置时间的定时电路。3.分析与设计时序控制电路。4.画出定时抢答器的整机逻辑电路图

三、设计原理与参考电路 1.数字抢答器总体方框图

如图11、1所示为总体方框图。其工作原理为:接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置?quot;开始“状态,宣布”开始“抢答器工作。定时器倒计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作”清除“和”开始“状态开关。

图11、1数字抢答器框图

2.单元电路设计(1)抢答器电路

参考电路如图

11、2所示。该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。工作过程:开关S置于”清除“端时,RS触发器的 端均为0,4个触发器输出置0,使74LS148的 =0,使之处于工作状态。当开关S置于”开始“时,抢答器处于等待工作状态,当有选手将键按下时(如按下S5),74LS148的输出 经RS锁存后,1Q=1, =1,74LS48处于工作状态,4Q3Q2Q=101,经译码显示为”5“。此外,1Q=1,使74LS148 =1,处于禁止状态,封锁其他按键的输入。当按键松开即按下时,74LS148的 此时由于仍为1Q=1,使 =1,所以74LS148仍处于禁止状态,确保不会出二次按键时输入信号,保证了抢答者的优先性。如有再次抢答需由主持人将S开关重新置?quot;清除”然后再进行下一轮抢答。74LS148为8线-3线优先编码器,表11、1为其功能表。

11、2 数字抢答器电路

表10、1 74LS148的功能真值表

(2)定时电路

图11、3 可预置时间的定时电路

由节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置,计数器的时钟脉冲由秒脉冲电路提供。可预置时间的电路选用十进制同步加减计数器74LS192进行设计,具体电路如图11、3所示。(3)报警电路

由555定时器和三极管构成的报警电路如图11、4所示。其中555构成多谐振荡器,振荡频率fo=1.43/[(RI+2R2)C],其输出信号经三极管推动扬声器。PR为控制信号,当PR为高电平时,多谐振荡器工作,反之,电路停振。

图11、4 报警电路

(4)时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定

时电路进人正常抢答工作状态。

②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

图 11、5 时序控制电路

根据上面的功能要求以及图 11、2,设计的时序控制电路如图 11、5所示。图中,门G1 的作用是控制时钟信号CP的放行与禁止,门G2的作用是控制74LS148的输人使能端。图11、4的工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,来自于图11、2中的74LS279的输出 1Q=0,经G3反相,A=1,则时钟信号CP能够加到74LS192的CPD时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则“定时到信号”为 1,门G2的输出 =0,使 74LS148处于正常工作状态,从而实现功能①的要求。当选手在定时时间内按动抢答键时,1Q=1,经 G3反相,A=0,封锁 CP信号,定时器处于保持工作状态;同时,门G2的输出 =1,74LS148处于

禁止工作状态,从而实现功能②的要求。当定时时间到时,则“定时到信号”为0,=1,74LS148处于禁止工作状态,禁止选手进行抢答。同时,门G1处于关门状态,封锁 CP信号,使定时电路保持00状态不变,从而实现功能③的要求。集成单稳触发器74LS121用于控制报警电路及发声的时间,其工作原理请读者自行分析。

四、实验仪器设备

1.数字实验箱。

2.集成电路74LS148 1片,74LS279 1片,74LS48 3片,74LS192 2片,NE555 2片,74LS00 1片,74LS121 1片。

3.电阻 510Ω 2只,1KΩ 9只,4.7kΩ l只,5.1kΩ l只,100kΩ l只,10kΩ 1只,15kΩ 1只,68kΩ l只。4.电容 0.1uF 1只,10uf 2只,100uf 1只。5.三极管 3DG12 1只。

6.其它:发光二极管2只,共阴极显示器3只。

五、实验内容及方法 1.组装调试抢答器电路。

2.设计可预置时间的定时电路,并进行组装和调试。当输人1Hz的

时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。

3.组装调试报警电路。

4.完成定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。

六、实验报告

1.画出定时抢答器的整机逻辑电路图,并说明其工作原理和工作过程。2.说明实验中产生的故障现象及其解决办法。3.回答思考题。4.心得体会与建议。

七、思考题

1.在数字抢答器中,如何将序号为0的组号,在七段显示器上改为显示8?

2.在图 11、2中,74LS148的输人使能信号 为何要用1Q进行控制?如果改为主持人控制开关信号S和 相与去控制,会出现什么问题? 3.定时抢答器的扩展功能还有哪些?举例说明,并设计电路。

上一页

上一篇:产品调研分析报告范文下一篇:学校会议主持稿的开场白