VHDL实验四函数信号发生器设计

2024-04-29

VHDL实验四函数信号发生器设计(精选7篇)

篇1:VHDL实验四函数信号发生器设计

函数信号发生器的设计

实验报告

院 系:电子工程学院

班 级:2012211209 姓 名:陈炳文 班内序号:

学 号:

0

实验目的:

设计一个设计制作一个可输出方波、三角波、正弦波信号的函数信号发生器。

1,输出频率能在1—10KHz范围内连续可调,无明显失真;

2,方波输出电压Uopp = 12V,上升、下降沿小于10us(误差<20%); 3,三角波Uopp = 8V(误差<20%); 4,正弦波Uopp≥1V。

设计思路:

1,原理框图:

2,系统的组成框图:

分块电路和总体电路的设计:

函数发生器是指能自动产生方波、三角波和正弦波的电压波形的电路或者仪器。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。根据用途不同,有产生三种或多种波形的函数发生器,本课题采用由集成运算放大器与晶体差分管放大器共同组成的方波—三角波、三角波—正弦波函数发生器的方法。

本课题中函数信号发生器电路组成如下:

第一个电路是由比较器和积分器组成方波—三角波产生电路。单限比较器输出的方波经积分器得到三角波;第二个电路是由差分放大器组成的三角波—正弦波变换电路。

差分放大器的特点: 工作点稳定,输入阻抗高,抗干扰能力较强等。特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波波形变换的原理是利用差分放大器的传输特性曲线的非线性。传输特性曲线越对称,线性区域越窄越好;三角波的幅度Uim应正好使晶体接近饱和区域或者截至区域。

Ⅰ、方波—三角波产生电路设计

方波输出幅度由稳压管的稳压值决定,即限制在(Uz+UD)之间。方波经积分得到三角波,幅度为Uo2m=±(Uz+UD)

方波和三角波的震荡频率相同,为f=1/T=āRf/4R1R2C,式中ā为电位器RW的滑动比(即滑动头对地电阻与电位器总电阻之比)。即调节RW可改变振荡频率。

根据两个运放的转换速率的比较,在产生方波的时候选用转换速率快的LM318,这样保证生成的方波上下长短一致,用LM741则会不均匀。产生三角波的时候选用LM741。其中R1、Rf的值根据实验要求设定在20K和30K,根据计算可设定R2=5KΩ,C=0.01uF。根据运放两端电阻要求的电阻平衡,选择R4的阻值和R2的相等,即R4=5K欧姆。根据所需要输出方波的幅度选择合适的稳压管和限流电阻R0的大小。稳压管为给定的2DW232,其稳压幅度已经给定。选择限流电阻R0为2Ω。为使ā的变化范围较大,信号的频率范围达到要求,电位器RW选择为1K欧姆范围内可调。

Ⅱ、三角波—正弦波产生电路设计

差动放大器具有很大的共模抑制比,被广泛应用于集成电路中,常作为输入级或中间级。

差动放大器的设计:

1,确定静态工作点电流Ic1、Ic2、Ic3 静态时,差动放大器不加入输入信号,对于电流镜Re3=Re4=Re Ir=Ic4+Ib3+Ib4=Ic4+2Ib4= Ic4+2 Ic4/β≈Ic4= Ic3 而 Ir= Ic4= Ic3=(Ucc+Uee-Ube)/(R+Re4)上式表明恒定电流Ic3主要由电源电压Ucc、Uee和电阻R、Re4决定,与 晶体管的参数无关。由于差动放大器得静态工作点主要

由恒流源决 定,故一般先设定Ic3。Ic3取值越小,恒流源越恒定,漂移越小,放大 器的输入阻抗越高。因此在实验中,取Ic3为1mA。有Ic1= Ic3=1/2 Ic3=0.5mA。由R+Re=(Ucc+Uee-Ube)/Ir,其中Ucc为12V,Uee也为12v,Ube的典型值为0.7V(在本次取值中可以忽略)Ir为1mA,故取R=20KΩ,Re4=2KΩ。由于镜像电流源要求电阻对称,故取Re3=2KΩ。2,差模特性

差动放大器的输入和输出各含有单端和双端输入两种方式,因此,差 动放大器的输入输出共有四种不同的连接方式。不同的连接方式,电路的特性不同。Rp 的取值不能太大,否则反馈太强,一般取 100Ω左 右的电位器,用来调整差动放大器的对称性。3,三角波—正弦波变换电路

三角波—正弦波变换电路的种类很多,有二极管桥是电路,二极管可变分压器电路和差分放大器等。利用差分放大器传输特性曲线的非线性,实现三角波—正弦波的变换。

图中RP1调节三角波的幅度,RP2调整电路的对称性,并联电阻RE用来 减小差分放大器传输特性曲线的线性区。电容C1,C2,C3为隔直流电容,用单向的大电容不但很好的滤除直流分量,还能避免双向耦合,使输出地波形清晰稳定。C4为滤波电容,以滤除高频信号干扰,改善输出正弦波的波形,减少不确定的信号干扰。

电解电容C1、C2、C3为隔直流电容,为达到 良好的隔直流、通交流的目的,其容值应该取的相对较大,故取 C1=10uF C2=10uF C3=10uF。Rp1调节三角波的幅度,为满足实验要求,其可调 范围应该比较大,故取Rp1=22kΩ。Rb1与Rb2为平衡电阻,取值为Rb1= Rb2=6.8KΩ。流进T1,T2集电极电流约为0.5mA,为满足其正弦波的幅 度大于1mA,取Rc1= Rc2=5.1kΩ,使得电流流经Rc2的电压降不至于很大。C4为滤波电容,其值应该满足要求的正弦电压幅度与频率,其值 不能取太大,否则会是幅度太小无法达到要求,故取C4=0.01uF。至 此,电路的设计基本完成,需要在实验中进一步调试电路。

电路的安装与调试:

一,三角波---正弦波转换电路的安装与调试: 安装三角波——正弦波变换电路

1.在面包板上接入差分放大电路,注意三极管的各管脚的接线; 2.搭生成直流源电路;

3.接入各电容及电位器;

4.按图接线,注意直流源的正负及接地端。调试三角波——正弦波变换电路

1.接入直流源后,把 C4 接地,利用万用表测试差分放大电路的静态 工作点; 2.测试 C,D 两端电压,当不相等时调节 RP 使其相等;

3.在 C5 端接入示波器观察,逐渐增大输入电压,当输出波形刚好不失真时记入其最大不失真电压;

二,方波—三角波发生电路的安装与调试:

安装方波—三角波产生电路

1.把 2 块集成运放插入面包板,注意布局;

2.分别把各电阻放入适当位置,尤其注意电位器的接法; 3.按图接线,注意直流源的正负及接地端。调试方波—三角波产生电路

1.接入电源后,用示波器进行双踪观察; 2.调节 RP,微调波形的频率;

3.观察示波器,各指标达到要求后进行下一部安装。三,总电路的安装与调试:

1.把两部分的电路接好,即把三角波的输出与差动放大器的输入相连接,进行整体测试、观察

2.针对各阶段出现的问题,逐各排查校验,使其满足实验要求,即 使方波的峰峰值为12伏,三角波为8伏,使正弦波的峰峰值大于 1V。

实验结果:

方波的输出:

输出方波在±7v之间,基本满足实验要求,上升、下降沿9us,满足要求,频率可以通过电位器RP调节,在1-10KHz内输出稳定。

三角波的输出: 输出三角波:

三角波Uopp=8.1V,满足要求

正弦波的输出:

正弦波Uopp≥1v 三种输出波形的输出频率均可在1-10KHz内可调。

故障及问题分析

测试前的电路检验:

1.电路是否正确,对照实验原理图仔细检查。2.测量仪器是否有问题,仪器显示是否正确。3.电源供电(包括极性)、信号源连线是否正确检查直流极性是否正确,信号线是否连接正确。并且用电压表测试保证直流电源输出符合要求。

4.检查元器件引脚之间有无短路,连接处有无接触不良,二极管、集成电路和电解电容极性等是否连接有误。

测试出现的故障:

1.整个电路比较复杂,连接电路时出现的问题比较多,需要仔细的检查,反复的测试才能得到需要的实验结果。

2.在实验之前需要检查电路的正确性,避免电路连接错误而造成的烧毁电路或是不出波形。

3.实验过程中,面包板可能短路,由于电阻的接线比较长,完全插入后可能错综在一起,造成短路,此时就应利用万用表,挨个检查,更换面包板,插线时不宜过深。

4.在三角波—正弦波转换电路中,即使在调节了电路平衡之后,输出波形也会存在一个偏斜。这时就需要调节RP1使波形变得正常。这个过程就需要调一会才会变化,所以需要有耐心。5.失真问题

在调试过程中,正弦波出现了以下失真,产生失真的原因及采 取的措施如下: 1)钟形失真,传输特性曲线的线性区太宽,应减小 Re。从而减 小了线性区的放大效应。

2)非线性失真,三角波传输特性区线性度差引起的失真,主 要是受到运放的影响。可在输出端加滤波网络改善输出波形。本次试 验中可以通过增加 C4 的大小来减小波形的非线性失真。

3)截止失真或饱和失真。这可是由于电路设计时工作点选的不好。也可能是因为,在实际连电路时选取了与设计时的不同值近似。导致工作点的错误。检查电路修改数据是解决的方法。

6.布线以及排版问题 对于可以输出稳定波形的电路,需要简化电路,让电路看起来更美观,更简洁,更清楚,这样有利于检查错误和更改。

实验总结及结论:

本次实验是我第一次将所学的知识很好的用在实验里解决了问题的一个。虽然以前也做过模电实验,但都是按部就班。另外当时模电学的也不是特别明白有些实验对于很多结果都还不清楚。这次虽然有一些设计原理我依然没有完全吃透。但是对于我真正掌握所学知识并应用在实践中是非常有帮助的。

在设计过程中我也查阅了一些资源,对于实验器材以及实验的惯例和常识有了更多的了解。方便自己根据实验需要来选择器材。

在试验中我不仅学会了最基本的面包板的搭建与布线。器材的识别和检测。还在试验中基本解了函数信号发生器电路的组成及设计原理,初步了解了电路设计的方法,熟悉了电路仿真软件protel dxp的使用。利用软件仿真来对搭建电路很有帮助,有事半功倍的效果。而且这些工具都是我们将来在从事相关工作中不可或缺的东西。

总的来说,充分理解实验原理是做好一个实验的最重要的一环,如果不理解电路的原理,就不知道如何去更改参数,去调试电路板,除了原理,还要了解各个器件的特性和用法,比如电源的连线的方式。另外,这次实验培养了我们动手能力。在搭建电路板的时候,需要细心耐心,布局以及连线都很有讲究,不仅要求电路的通畅,还要注意电路板上各个元器件的布局,还有所使用的导线的颜色以及长度,通过这次试验我可以锻炼我们的电路版的搭建能力。这次实验,熟悉了测量仪表的用法,熟悉了电阻,二极管等器件的测量和极性判断方法,这些经验都是宝贵的。后本次实验在耐心与细心上面对我给予启示,在电路搭好以后却出不了波形的时候,要戒骄戒躁,耐心细心的去寻找,去排查,去测试,经过4周的努力拼搏,自己的实验技能有了很大的提高,对于之后完成更加困难的实验增强了信心。俗话说:“读万里书,行万里路。”这样的实践就是一种“行走”的过程。让我们在实践中将知识融会贯通,而不仅仅是纸上谈兵的呆书生。最后,感谢老师对我们实验的悉心讲解和指导。

电路仿真图:

所用仪器及元器件:

仪器:直流稳压电源,示波器,万用表 元器件:电位器、电阻、电容

相关元件参数: LM318 芯片:

输入失调电压 4mV; 增益带宽积:15MHz 耗电流:5mA 偏置电流:150nA 转换速率:70V/uS 电源:+/-20V LM741 芯片:

LM741: 输入失调电压 0.8mV; 增益带宽积:1.5MHz 耗电流:1.7mA 偏置电流:30nA 转换速率:0.7V/uS 电源:+/-3V---+/-22V 三极管: 8050

参考文献:

《Protel DXP 基础与应用教程》 高明制作 《电子电路综合设计实验教程》 北邮出版社

《电子电路基础》 林家儒主编 北邮出版社

篇2:VHDL实验四函数信号发生器设计

班级:电子信息一班 姓名:何 胜 学号:201105431551

函数信号发生器

一、设计任务

函数发生器的设计

二、设计条件

设计基于学校实验室

三、设计要求

1.电路能输出正弦波(选做)、方波(必做)和三角波(必做)等三种波形; 2.输出信号的频率要求可调;

3.在面包板上或万能板上安装电路; 4.测量输出信号的幅度和频率; 5.写出设计性报告。

四、设计内容

设计内容包括电路能输出正弦波、方波和三角波三种波形

1.电路原理图

D6R12R2R3D1N9143k15k2D7.2kC3V2U115VdcD1N9140.1ufR1737++VOS25uA74110k0U4R11R9U373++VOS253+VOS25OUT6R8+65k2k2OUT6-V-OS11R6OUT0212k0-V-OS12-V-OS114V110kuA741uA741044R7R5C101520kR410k0.01ufD310kC2D4D1N7500.01ufD1N7500

02.计算与仿真分析

8.0V4.0V0V-4.0V-8.0V130ms131msV(D3:1)132ms133ms134ms135ms Time136ms137ms138ms139ms140ms15V14V13V12V130msV(C3:2)131ms132ms133ms134ms135ms Time136ms137ms138ms139ms140ms20V10V0V-10V-20V130ms131msV(C1:2)132ms133ms134ms135ms Time136ms137ms138ms139ms140ms

3.元件清单

10k电阻4个,9k一个,45k一个,2.2k一个,20k一个,2k两个,5k一个,0.01u两个,0.1u一个,ua741三个,稳压管两个,二极管两个,导线若干 4.调试过程

依据元件清单和电路图连接进行调试 5.设计和使用说明

产生正弦波、方波和三角波三种波形,在低频范围内性能好。

五、设计总结

1.通过本篇实验的设计,使我们对ua741的工作原理有了本质的理解,掌握了工作波形等内部构造及其工作原理。可输出正弦波、方波、三角波,输出波形稳定清晰,信号质量好,精度高。

2.通过这次课程设计,让我的理论联系实际能力、设计电路能力、实际操作能力以及正确的处理数据、分析和综合实验结果,检查和排除故障的能力有了大大的提高,并且巩固了我的理论知识,起到了双重效果。

3.要想做出一个实用的实物来,并不是自己想象中的那样简单。

4.团结就是力量,在做设计的过程中我们必须讲究团队精神,各施其职。

六、设计参考资料

篇3:VHDL实验四函数信号发生器设计

电子电路技术更新换代的周期很快, 新技术的发明与应用所需时间很短, 集成电路技术也在发生着日新月异的变化, 在这样的背景下, 传统的芯片设计技术和系统集成技术已经不能适应新的标准要求。因此, 必须要尽快提高设计效率, 要想提高设计效率必须要降低设计难度, VHDL设计方法能够在很大程度上降低芯片和系统集成的设计难度。电子设计自动化技术是现代电子设计的重要技术, 高速集成电路硬件描述语言是EDA的重要工具。

1 关于数据对象的简介

在VHDL语言要素中, 数据对象是比较重要的内容, 一般都包含如下三类:常量、变量和信号。

常量是一个全局量, 它的定义和设置主要是为了使程序更容易阅读和修改, 在程序中, 常量作为一个固定不变的稳定值, 不可以被改变。

变量相对于常量来说, 算是一个局部量, 变量主要是在局部程序的某个进程或子程序中使用。变量一般不可以超出自身所在的程序结构, 其信息的传输也离不开程序结构对其所做的定义和赋值, 在实际工作过程中, 不会出现延时暂停的状况, 工作具有即发性。

信号是一种比较特殊的数据对象, 作为在VHDL中的一个全局量, 通常是在程序包说明、实体说明和结构体描述中使用。信号与连接线比较类似, 通过基本数据来描述硬件系统, 信号可以充当并行语句模块间的信息交流通道。

2 信号与变量的定义位置与赋值范围

在VHDL中, 定义变量的一般表述是:variable变量名:数据类型:=初始值。变量限于自身的属性, 作为局部量, 其能够适用的范围很小, 通常情况下仅限于定义了变量的进程或子程序的顺序语句中。变量不能将信息带出对它作出定义的当前结构中。在这些语句结构中, 同一变量的值将随变量的赋值语句前后顺序的运算而改变。变量的赋值其实从技术角度来看, 本身是一种十分理想的数据传输模式, 这种工作时瞬间发生, 不会有暂停或迟滞现象发生。

变量定义语句中的初始值可以是一个与变量具有相同数据类型的常数值, 这个表达式的数据类型必须与所赋值的变量一致。

变量的主要作用是在进程中作为临时的数据存储单元。

变量赋值的一般表述为:目标变量名:=表达式;

信号定义的语句格式与变量相似, 信号定义也可以设置初始值。

定义信号的一般表述是:Signal信号名:数据类型:=初始值

信号赋值语句表达式为:目标信号名〈=表达式;

赋值语句中的表达式可以是一个运算表达式, 也可以是数据对象 (常量、变量、信号) 。数据信息的传入可以设置延时量。所以目标信号获得传入的数据并不是即时的。即使不作任何的延时设置, 也要经历一个特定的延时。因此, 由于器件的延迟特性, 符号“〈=”两边的数值并不是一致的。

所以, 两者赋值位置与范围不同, 主要体现在三个方面: (1) 基本用法:信号用于作为电路中的信号连线;变量用于作为进程中局部数据存储单元。 (2) 适用范围:信号适用于整个结构体的任何地方;变量只能适用于所定义的进程中。 (3) 行为特性:信号在进程的最后才对信号赋值;变量是立即赋值的。

但也有相同之处, 主要体现在两方面: (1) 在设计不准确、不完整的计算机条件语句中, 变量与信号在经综合后一般均可以存入寄存器, 这样也可产生基本相同的逻辑电路。 (2) 初始值的功效相同。从技术角度来看, 没有必须明确要求变量和信号定义的初始值, 如果对其进行改变或设置, 可能会导致综合后的硬件电路不支持。

3 实际案例分析

例二:

从上面两个例子的结构可以看出, 设计者的用意是想要设计一个4选1多路选择器, 对应的电路理应是一个纯组合电路, 其中的a和b是通道选通的控制信号。例一与例二的主要不同在于, 例一中将标识符muxval定义为信号, 而例二中将其定义为变量。结果综合出了完全不同的电路。综合后的电路图如图1和图2所示。可以从电路图中看出, 图1中含有时序电路, 而图2是纯组合电路。

例一中, 信号muxval在进程中出现了三次赋值操作, 即有三个赋值源:muxval<=0、muxval<=muxval+1和muxval<=muxval+2, 但根据进程中信号的赋值规则, 前两个赋值语句中的赋值目标信号muxval都不可能得到更新, 只有最后的muxval<=muxval+2语句中的muxval的值得到了更新, 所以传输符号右边的muxval并未得到任何确定的初值, 即并未执行语句muxval<=0, 结果只能被综合成随b和a变动的时序电路, 导致左边的muxval也是一个不确定的信号。结果在进程最后的CASE语句中, 无法通过判断muxval的值来确定选通输入, 及对q的赋值。

例一和例二就有所不同了, 程序中首先将muxval定义为变量, 根据变量顺序赋值以及暂存数据的规则, 首先执行了语句muxval:=0, 从而使两个if语句中的muxval都能得到确定的初值。另一方面, 当if语句不满足条件时, 即当a或b不等于1时, 由于muxval已经在第一条赋值语句中被更新为确定的值, 即0了, 所以尽管两个if语句从表面上看都属于不完整的条件语句, 但都不可能被综合成时序电路了, 显然从图2可以看到一个纯组合电路。

4 结论

本文首先通过描述和比较, 总结了信号和变量在定义和赋值范围上的不同与相同, 然后经过程序和综合结果的比较, 总结了变量与信号的其他区别, 可以看出, 若是信号和变量定义不够精确和完整, 很容易给设计结果造成损失, 综合的电路也会完全不同。因此, 技术人员在用VHDL语言设计芯片或集成电路的程序时, 要确保信号和变量的恰当、正确使用, 在VHDL语言设计中, 要能够熟练准确地使用信号或变量的系统默认值, 尽可能地灵活实现设计的目标。

摘要:VHDL语言是现代电子设计的重要工具。数据对象是其中的重要语言要素, 通常由常量、变量与信号等组成, 一般情况下, 这些要素在经过综合后可以引入寄存器, 这样就能够产生相同的逻辑电路, 与初始值的功效基本相同。语言要素中的常量和变量可以从计算机语言中找到与其对应的数据类型, 并且这类常量和变量的语言行为与高级中的变量和常量基本相同。比较特殊的要素是信号, 它的数据对象包含更多的硬件特征, 这也是VHDL中最有特色的语言要素之一。本论文讲述的是常量和变量的相似之处, 还有变量和信号的相同与不同之处, 主要表现为定义位置、适用范围、延时行为特性等, 并以实例加以验证。

关键词:VHDL,变量,信号

参考文献

[1]潘松, 黄继业.EDA技术实用教程[M].北京:科学出版社, 2005.

[2]曾繁泰.EDA工程的理论与实践[M].北京:电子工业出版社, 2004.

[3]徐惠民.数字逻辑设计与VHDL描述[M].北京:机械工业出版社, 2004.

[4]江国强编著.EDA技术与应用[M].北京:电子工业出版社, 2004.

[5]姜立东等编著.VHDL语言程序设计及应用第二版[M].2004年06月.

篇4:VHDL实验四函数信号发生器设计

摘要:针对目前电能计量存在的问题,在研究畸变信号条件下电能计量新方法的基础上,设计了基于DM3730的畸变信号条件下电能计量实验仪,验证了畸变信号条件下电能计量新方法的正确性.采用片上双CPU架构与片内共享内存数据的设计方法,搭建了硬件系统结构,阐述了其工作原理.设计了实验仪的软件系统,使用C编程实现小波分频带测量功率算法.最后在实验仪上对电网典型畸变信号进行功率测量实验,实验结果表明畸变信号条件下电能计量实验仪的准确度高及小波分频带测量算法的实时性好,为深入研究畸变信号条件下电能计量装置提供了一定的参考价值.

关键词:电能计量;DM3730;小波变换;畸变信号

DOI:10.15938/j.jhust.2015.05.015

中图分类号:TM744

文献标志码:A

文章编号:1007-2683(2015)05-0074-06

0 引言

随着电网中非线性负载的迅速增加,电能质量日趋恶化,这不仅严重影响电网安全高效的运行,而且对经典的电能计量理论、方法和仪表的设计都提出了新的挑战.在当前电网信号严重畸变的现状下,如何准确合理地计量功率和电能已经成为电气测量技术及仪器仪表研究领域急需解决的问题,解决这个问题,既需要研究能真实反映非线性负载电能消耗及合理计量的新方法又需要研究工程上切实可行的硬件电路和软件算法.

目前,谐波信号条件下电能计量方法研究及电能准确合理计量仪器研发都取得了很多成果,如湖北电力试验研究所研制开发的FEE3型基波电能表利用低通滤波器实时衰减畸变信号中的谐波成分而只计其中的基波功率,从而使电能计量更趋合理.清华大学与河南新乡电业局联合研制的微机化采样式电能表能够同时测量基波电能与总电能,据此判断用户是线性还是非线性以及谐波水平,其准确度为0.2级.威胜公司最新开发的0.2级谐波表DTSD341/DSSD331-9采用实时积分算法计算电能,同时通过FFT算法提供基波电能及谐波电能,并通过谐波电能的方向区分用户是谐波源用户还是非谐波源用户,该表可为电力管理部门对用户用电管理提供依据.但是基波表、谐波表并不能解决冲击信号等畸变信号条件下电能合理计量的问题,因为谐波模型并不能真实反映电网信号的实际情况,尤其是冲击性负载等非线性负载产生的电压、电流信号根本无法用谐波信号的数学模型来描述.

本文针对目前电能计量存在的问题,在研究畸变信号条件下电能计量新方法的基础上,设计了畸变信号条件下电能计量实验仪,验证了畸变信号条件下电能计量新方法的正确性.同时,本实验仪的设计为研发适用范围更广、计量更合理的畸变信号条件下电能计量仪表提供了基础的数据和技术先导.

1 实验仪的硬件系统设计

本文设计了基于达芬奇平台的畸变信号条件下电能计量实验仪,并采用小波分频带功率测量算法在实验仪上实现了电网典型畸变信号条件下电能的合理计量.

1.1 实验仪总体结构

实验仪系统结构如图1所示,选用TI的DM3730作为核心处理器,它内部集成了1CHz的Cortex-A8 ARM弹性内核以及800MHz的TMS320C64x+DSP内核,这样提高了实验仪的实时响应能力与控制能力,实验仪内设有模拟信号发生器,它采用数模混合原理设计,可以输出各种典型电网信号,主控单元建立了ARM+DSP片上双核的最小系统,同时根据实际需要进行了外设扩展,包括数据采集模块、通信接口、存储模块以及人机交互界面模块.

整个系统由ARM负责模拟电网信号的采集以及整个系统的控制管理.DSP负责对采集的数据进行电压、电流有效值运算及小波分解重构等运算.DSP与ARM之间通过DSPLINK和驱动程序实现通信.在外设模块中,数据采集模块主要采用AD7656实现电网畸变信号的A/D转换.通信接口采用UART与USB、RJ45以太网接口实现与外界的通信以及嵌入式系统的移植下载.存储模块用来存储系统应用程序及各项数据等.人机交互界面模块采用带有触摸功能的LCD,可实现人机直接交互功能,无需设置功能按键.利用QT设计实验仪显示界面,可以显示电网畸变信号经小波分解重构得到的基波信号和畸变信号的波形以及测量后的各项功率值.

1.2 模拟电网信号发生器

由于电网中存在太多的未知因素,不可能确知电网信号的具体成分,目前国家尚无畸变信号条件下电能计量的标准,更没有畸变信号条件下电能计量的标准装置可供校验和比对.为了验证理论的正确性,本文设计了能够模拟实际电网信号且理论上精确已知的畸变电网信号源.

该信号源能够模拟典型的电网信号,通过它可确定待处理电网信号的具体成分,模拟电网信号发生器结构如图2所示.其中,i1(t)、u1(t)分别为标准信号源产生的标准正弦电流、电压信号;ia(t)、ua(t)为模拟电网电流、电压信号.EEPROM中已存有数字正余弦、方波、三角波等函数表a(t),信号a(t)与u'1(t)在数模混合乘法器中相乘再与信号u'1(t)在加法器中相加得到了信号u'2(t),若取样电阻R=1Ω,则u'2(t)为

当a(t)取不同的信号时,ia(t)、ua(t)代表着不同的电网电流及电压信号.所以,此信号源可以模拟各种典型电网信号.

2 实验仪的软件系统设计

软件设计分为ARM子系统软件设计和DSP子系统软件设计.实验仪系统的软件结构层次如图3所示.ARM子系统实现人机界面,应用控制程序和系统的管理.DSP子系统通过DSPLINK接收来自ARM的数据信息,根据控制指令进行电压、电流的分解重构运算及功率值计算.

2.1 ARM软件设计

ARM子系统的软件设计功能模块如图4所示,它主要由设备驱动模块、译码模块和图形界面模块等几个功能模块组成.系统各模块在Linux的统一控制管理下有效地协调工作.ARM处理器端主要是运行Linux的操作系统,同时嵌入QT/Embedded图形界面系统.使用C++编程实现该图形界面系统,通过图形界面系统实现人机交互,最终显示处理后的数据及波形.无需键盘和鼠标,系统运行后图形界面的操作全部由触摸屏完成.

2.2 DSP的软件设计

2.2.1

DSP软件算法

本文应用小波分频带功率测量算法实现畸变信号条件下的电能的合理计量.由功率潮流分析的结果可得畸变信号条件下合理计量功率P为: 式中:P,为基波电压与基波电流产生的功率;PIS为基波电压与畸变电流产生的功率;PIS为畸变电压与基波电流产生的功率.Pa为计量节点a处的实测功率;PS为Pa中的畸变功率.

由式(5)可知,实现畸变信号下电能计量只需要分解与重构畸变电流、畸变电压信号,根据小波变换原理与各电网信号的具体情况,把不需要的小波系数置成零,这样,就得到了重构信号的小波系数,进一步得到畸变信号u'S(t)、i'S(t).

南初始采样值可得

最后,利用式(5)计算出用户合理计量的功率.

2.2.2 DSP软件实现

实验仪的DSP核主要由DSP/BIOS操作系统控制,承担的主要功能是与ARM端的数据传递、小波分频带功率测量算法的实现及各项功率值计算,它可以单独验证某一阶段算法的有效性,也可以一次性处理所有阶段的验证.系统初始化后,ARM采集数据并发送给DSP时,ARM先将数据存放在共享内存中,通过DSPLINK向DSP发出中断,DSP收到中断后开始执行程序,DSP从共享内存中读出数据进行电压、电流的小波分解与重构运算以及功率值计算.当DSP进行小波分频带功率测量算法后,会中断ARM读取处理后的数据结果.DSP主程序流程图如图5所示,

其中针对小波分频带算法,编写了电能计量算法函数库,包括小波分解函数、小波重构函数以及各个功率计量函数,以满足不同畸变信号条件下的应用要求.还编写了中断处理函数、数据接收分类处理等辅助函数,在进行应用程序开发时就可以直接调用电能计量控制函数库中的函数,这样使程序模块化减少了重复编写代码段的工作,同时增强了程序的可读性与可移植性.

2.3 双核间通信

基于DM3730处理器的双核通信软件系统调用由DSPLINK来完成,DSPLINK提供一套通用API,从应用层抽象出ARM与DSP的物理连接特性,进而降低用户开发的复杂度.

图6 DSP/BIOS LINK的软件体系结构图

DSP/BIOS LINK的软件体系结构图如图6所示,图中在DSP端用DSP/BIOS来支持畸变信号条件下电能计量算法的运行,在ARM端OS用Linux来支持其对系统外设的管理.DSPLINK作为嵌入式Linux操作系统的设备驱动程序,管理ARM端和DSP端应用程序的交互,从而实现DSP核资源的管理和利用.

3 实验结果

3.1 直流、谐波和间谐波信号实验结果

半导体整流信号中含有直流、谐波及问谐波,调制信号a(t)为为阶跃信号,

由模拟电网信号发生器输出的半导体整流信号的电压、电流信号如图7中(a)、(d)所示.

在实验仪上进行功率分解测量实验,图7 rf,(b)、(e)是经dB40小波分解重构的基波电压、电流信号,(c)、(f)为重构的畸变电压电流信号,根据重构的信号计算各项功率值,结果如表l所爪.

表1结果表明在此硬件平台上测量的各功率潮流方向与理论分析结果相同,验证了畸变信号条件下电能合理计量方法的正确性.同时,测试了C代码实现功率分解测量算法的运行时问为2054μs,说明该算法满足实时性的要求.

3.2 连续频谱信号实验结果

设a(t)为连续频谱信号

其他其中t0为a(t)出现的时刻,

在实验仪上对此电压和电流信号进行数据处理得到各项功率值,图8中(a)、(d)是由模拟电网信号发生器产生的连续畸变信号的电压信号、电流信号;(b)、(e)是经dB40小波分解重构的基波电压、电流信号,(c)、(f)为重构的畸变电压电流信号,根据重构的信号计算各项功率值,结果如表2所示.

表2结果表明合理计量方法也适用于连续谱畸变信号的电能计量.同时,算法的执行程序在硬件上的运行时间为1902μs,算法能够满足实时性要求.

4 结论

针对畸变信号条件下电能计量算法复杂度与实时性的要求,本文设计出一种以DSP与ARM双核架构的系统为中心的电能计量实验仪.搭建了实验平台,通过实验仪将给定的畸变信号运用小波分解与重构算法,测量其各个功率值并且与理论值进行了比较,并记录了软件算法在实验仪的运行时间,得到以下结论:

1)实验仪测量的各功率潮流方向与理论分析结果相同,功率测量的准确度为10-4~10-3数量级,证明了畸变信号条件下电能计量方法的正确性和准确性.

2)软件算法在实验仪的运行时间可以达到微秒级,说明小波分频带功率测量算法能够满足实时性要求.

篇5:低频函数信号发生器设计

课程名称:

电子系统综合设计

指导老师:

周箭

成绩:

实验名称:低频函数信号发生器(预习报告)实验类型:

同组学生姓名:

一、课题名称

低频函数信号发生器设计

二、性能指标

(1)同时输出三种波形:方波,三角波,正弦波;(2)频率范围:10Hz~10KHz;

(3)频率稳定性:(4)频率控制方式:

① 改变RC时间常数;

; ② 改变控制电压V1实现压控频率,常用于自控方式,即F=f(V1),(V1=1~10V); ③ 分为10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。

(5)波形精度:方波上升下降沿均小于2μs,三角波线性度δ/Vom<1%,正弦波失真度

(6)输出方式:

a)做电压源输出时

输出电压幅度连续可调,最大输出电压不小于20V 负载RL=100Ω~1KΩ时,输出电压相对变化率ΔVO/VO<1% b)做电流源输出时

输出电流幅度连续可调,最大输出电流不小于200mA 负载RL=0Ω~90Ω时,输出电流相对变化率ΔIO/IO<1% c)做功率源输出时

最大输出功率大于1W(RL=50Ω,VO>7V有效值)具有输出过载保护功能

三、方案设计

根据实验任务的要求,对信号产生部分,一般可采用多种实现方案:如模拟电路实现方案、数字电路实现方案、模数结合的实现方案等。

数字电路的实现方案

一般可事先在存储器里存储好函数信号波形,再用D/A转换器进行逐点恢复。这种方案的波形精度主要取决于函数信号波形的存储点数、D/A转换器的转换速度、以及整个电路的时序处理等。其信号频率的高低,是通过改变D/A转换器输入数字量的速率来实现的。

数字电路的实现方案在信号频率较低时,具有较好的波形质量。随着信号频率的提高,需要提高数字量输入的速率,或减少波形点数。波形点数的减少,将直接影响函数信号波形的质量,而数字量输入速率的提高也是有限的。因此,该方案比较适合低频信号,而较难产生高频(如>1MHz)信号。

模数结合的实现方案

一般是用模拟电路产生函数信号波形,而用数字方式改变信号的频率和幅度。如采用D/A转换器与压控电路改变信号的频率,用数控放大器或数控衰减器改变信号的幅度等,是一种常见的电路方式。

模拟电路的实现方案

是指全部采用模拟电路的方式,以实现信号产生电路的所有功能。由于教学安排及课程进度的限制,本实验的信号产生电路,推荐采用全模拟电路的实现方案。

模拟电路的实现方案有几种:

①用正弦波发生器产生正弦波信号,然后用过零比较器产生方波,再经过积分电路产生三角波。但要通过积分器电路产生同步的三角波信号,存在较大的难度。原因是积分电路的积分时间常数通常是不变的,而随着方波信号频率的改变,积分电路输出的三角波幅度将同时改变。若要保持三角波输出幅度不变,则必须同时改变积分时间常数的大小,要实现这种同时改变电路参数的要求,实际上是非常困难的。

② 由三角波、方波发生器产生三角波和方波信号,然后通过函数转换电路,将三角波信号转换成正弦波信号,该电路方式也是本实验信号产生部分的推荐方案。这种电路在一定的频率范围内,具有良好的三角波和方波信号。而正弦波信号的波形质量,与函数转换电路的形式有关,这将在后面的单元电路分析中详细介绍。

四、单元电路分析

1、三角波,方波发生器

由于比较器+RC电路的输出会导致VC线性度变差,故采用另一种比较器+积分器的方式

积分器

同相滞回比较器

由积分器A1与滞回比较器A2等组成的三角波、方波发生器电路如图所示。在一般使用情况下,V+1和V-2都接地。只有在方波的占空比不为50%,或三角波的正负幅度不对称时,可通过改变V+1和V-2的大小和方向加以调整。

合上电源瞬间,假定比较器输出为低电平,vO2=VOL=-VZ。积分器作正方向积分,vO1线性上升,vp随着上升,当vp>0时,即vo1≥R2/R3*,比较器翻转为高电平,vO2=VOH=+VZ。积分器又开始作负方向积分,vO1线性下降,vp随着下降,当vp<0时,即vo1≥R2/R3*,比较器翻转为低电平,vO2=VOH=-VZ。

取C三种值:0.1uF 对应10-100Hz; 0.01uF 对应100-1kHz; 0.001uF 对应1k-10kHz。调节R23的比值可调节幅度,再调节R,可调节频率大小。

2、正弦波转换电路 常用方法有使用傅里叶展开的滤波法,使用幂级数展开的运算法,和转变传输比例的折线法。但前二者由于其固有的缺陷:使用频率小,难以用电子电路实现的原因,在本实验中舍弃,而采取最普遍的折线法。

折线法是一种使用最为普遍、实现也较简单的正弦函数转换方法。折线法的转换原理是,根据输入三角波的电压幅度,不断改变函数转换电路的传输比率,也就是用多段折线组成的电压传输特性,实现三角函数到正弦函数的逼近,输出近似的正弦电压波形。由于电子器件(如半导体二极管等)特性的理想性,使各段折线的交界处产生了钝化效果。因此,用折线法实现的正弦函数转换电路,实际效果往往要优于理论分析结果。

用折线法实现正弦函数的转换,可采用无源和有源转换电路形式。无源正弦函数转换电路,是指仅使用二极管和电阻等组成的转换电路。根据输入三角波电压的幅度,不断增加(或减少)二极管通路以改变转换网络的衰减比,输出近似的正弦电压波形。

有源正弦函数转换电路除二极管、电阻网络外,还包括放大环节。也是根据输入三角波电压的幅度,不断增加(或减少)网络通路以改变转换电路的放大倍数,输出近似的正弦电压波形。

若设正弦波在过零点处的斜率与三角波斜率相同,即

则有,由此,可推断出各断点上应校正到的电平值:

方案一,使用二极管控制形成比例放大器,使得运放在不同时间段有不同的比例系数

方案二,用二极管网络,实现逐段校正,运放A组成跟随器,作为函数转换器与输出负载之间的隔离(或称为缓冲级)。

当输入三角波在T/2 内设置六个断点以进行七段校正后,可得到正弦波的非线性失真度大致在1.8 % 以内,若将断点数增加到12 个时,正弦波的非线性失真度可在0.8 %以内。3 输出级电路 根据不同负载的要求,输出级电路可能有三种不同的方式。

(1)电压源输出方式

电压源输出方式下,负载电阻RL通常较大,即负载对输出电流往往不提出什么要求,仅要求有一定的输出电压。同时,当负载变动时,还要求输出电压的变化要小,即要求输出级电路的输出电阻RO足够小。为此,必须引入电压负反馈

图(a)电路的最大输出电压受到运放供电电压值的限制,如运放的VCC 和VEE 分别为±15V时,则VOPP =±(12 ~ 14)V。若要求有更大的输出电压幅度,必须采用电压扩展电路,如图12(b)所示。

(2)电流源输出方式

在电流源输出方式下,负载希望得到一定的信号电流,而往往并不提出对输出信号电压的要求。同时,当负载变动时,还要求输出电流基本恒定,即要求有足够大的输出电阻Ro。为此,需引入电流负反馈。

图(a)电路的最大输出电压受到运放供电电压值的限制,如运放的VCC 和VEE 分别为±15V时,则VOPP =±(12 ~ 14)V。若要求有更大的输出电压幅度,必须采用电压扩展电路,如图(b)所示。

a)为一次扩流电路,T1 和T2 组成互补对称输出。运放的输出电流IA中的大部分将

图(作为T1、T2 的基极电流,所以IO = βIA。图(b)为二次扩流电路,用于要求负载电流IO 较大的场合。复合管T1、T2和T3、T4 组成准互补对称输出电路。

(3)功率输出方式

在功率输出方式下,负载要求得到一定的信号功率。由于晶体管放大电路电源电压较低,为得到一定的信号功率,通常需配接阻值较小的负载。电路通常接成电压负反馈形式。如用运放作为前置放大级,还必须进行扩流。当RL较大时,为满足所要求的输出功率,有时还必须进行输出电压扩展。

静态时,运放输出为零,– 20V电源通过下列回路:运放输出端→R1 →DZ →b1 →e1 → –20V 向T1 提供一定的偏置电流 R6 ,C3 和R7 ,C4 组成去耦滤波电路。需要注意的是几个晶体管的耐压限流以及最大功率值。

其中调节W可改变晶体管的静态工作电流,从而克服交越失真。

4)输出级的限流保护 由于功率放大器的输出电阻很小,因而容易因过载而烧坏功率管。因此需要进行限流保护。

图(a)是一种简单的二极管限流保护电路,当发生过流(I o过大)时,R3、R4 上的压降增大到足以使D3、D4 导通,从而使流向T1、T2 基极的电流信号I1、I2 分流,以限制I o 的增大。

图(b)是另一种限流保护电路,T3、T4 是限流管。当I o 过大,R5、R6 上的压降超过0.6V时,T3、T4 导通防止了T1、T2 基极信号电流的进一步增大。I o 的最大值为 0.6/R5,R3、R4 用来保护限流管T3、T4。

五、仿真分析

以1KHz为例即C=1nF

三角波方波发生电路

方波下降沿时间4.3μs

三角波峰值

改变RP2

改变RP1

调节占空比

调节偏移量

正弦波转换器

三角波转换正弦波,三角波放大后输出峰峰值10V

静态工作点

改变静态工作点(调节RP45)发生失真

功率放大电路

功率放大波形,输入为之前的正弦波,变阻器衰减后最大不失真输出电压

总电路图,模块形式

衰减前的输入信号与输出信号

由仿真结果来看,基本满足设计要求,准备按仿真电路设计实际电路。

六、仿真心得

在仿真的过程中出现了一下几个问题,但后来都分别排查掉了,希望实际连接时不再犯。

1、运放未接电源导致没有波形

2、变阻器接入阻止过小或过大导致没有信号或失真(尤其需要注意)

篇6:函数信号发生器-课程设计2.

本次电子技术课程设计是指通过所学知识并扩展相关知识面,设计出任务所 要求功能的电路,利用计算机辅助设计的电路仿真,检测并调整电路,设计功能完整的电路图。我们所选择的课设题目是函数信号发生器。函数发生器一般是指能自动产生正弦波、方波、三角波的电压波形的电路或者仪器。电路形式可以采用由运放及分离元件构成;也可以采用单片集成函数发生器。

在资料收集后,将设计过程分为三部分:一是系统模块设计,设计电路的系统思想,设计出能满足电路功能的各个模块,画出系统的框图。二是针对各个模块分别设计电路的各个具体模块的具体电路,并且分别进行仿真和改进。三是将所有的模块综合在一起,画出系统总图,并用multisim 软件进行仿真,针对仿真过程中出现的一些问题仔细检查,对比各个方案的优点和缺点,选出最佳的方案,修改不完善的部分。

最后,对此次课程设计进行总结,反思自己在各个方面的不足,对设计方案中的各个思想进行归纳总结,比较各种方案的优缺点,总结每种设计方案的应用领域和使用范围,为以后得学习实践提供经验。最终提高我们的学习和动手能力。

前言……………………………………………………………………………2 摘要……………………………………………………………………………4

第一章 数信号发生器系统概述…………………………………………… 5 1.1总体设计方案论证及选择………………………………………… 5 1.2函数信号发生器总体方案框图…………………………………… 5 第二章单元电路设计分析………………………………………………… 6 2.1 信号发电路设计框图………………………………………………6 2.2方波发生电路……………………………………………………… 7 2.3方波——三角波转换电路………………………………………… 8 2.4三角波——正弦波转换电路……………………………………… 9 2.5.5数字显示输出信号频率和电压幅值…………………………… 11 第三章 电路的安装与调试………………………………………………… 15 3.1方波产生的结果………………………………………………… 15 3.2方波转换为三角波的结果……………………………………… 15 3.3三角波转换为正弦波的结果……………………………………… 16 3.4数字显示频率和幅值的结果……………………………………… 16 第四章 结束语……………………………………………………………… 17 参考文献…………………………………………………………………… 17 附录一 器件清单列表……………………………………………………… 18 附录二 总体设计图……………………………………………………… 18 收获及体会……………………………………………………………………19 鸣谢……………………………………………………………………………20

函数信号发生器

摘要:本实验中的信号发生器是根据555定时器构成多谐振荡器的原理来输出持续稳定的方波,再通过转换电路来实现波形变化。通过对信号发生器设计掌握555多谐振荡器产生占空比为1/2的方波,频率和振幅的调节;掌握电路转换的原理和实际电路图,如方波转换为三角波可通过简单积分电路或者通过带有放大器的积分电路来实现;三角波转换为正弦波可通过低通滤波器来实现,也可通过差分放大器的非线性来实现,或者通过折现法实现。本课题要求输出波形应有: 方波、三角形、正弦波。要完成此方案的方法有许多,既可以使用分立元件(如低频信号发生器S101全部采用晶体管),也可以采用集成电路(如单片集成电路函数信号发生器ICL8038)。本课题决定采用555定时器构成多谐振荡器产生方波,通过积分产生三角波,再通过低通滤波产生正弦波。设计中多用到数电和模电中的知识,以充分复习和应用自己已经学过的知识。

关键字:函数发生器 多谐振荡器 积分电路 低通滤波 峰值检波 设计要求:

1.信号频率范围1HZ ~100kHZ ;

2.输出波形应有: 方波、三角形、正弦波; 3.输出信号幅值范围0~10V ;

4.具有数字显示输出信号频率和电压幅值功能。♦ 第一章、函数信号发生器系统概述 ♦ 1.1 总体设计方案论证及选择:

方案一:通过RC 震荡电路产生正弦波,然后经过过零比较器,产生三角波,在通过积分电路产生方波。其中,RC 震荡电路为RC 桥式正弦振荡电路,然后通

过放大器构成过零比较器来实现方波的转换,在通过反向积分电路来实现方波到三角波的转化。

方案二:可以由晶体管、运放IC 等通用器件制作,更多的则是用专门的函数信号发生器IC 产生。早期的函数信号发生器IC,如L8038、BA205、XR2207/2209等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高频率的信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。方案三:可以按照方波——三角波——正弦波的顺序来设计电路,其中,方波可以通过模电中的方波发生电路来产生,也可以通过数电中的555多谐振荡电路来产生,方波到三角波为积分的过程,三角波到正弦波可以通过低通滤波来实现,也可以利用差分放大器的传输非线性来实现或者通过折现法来实现。

可行性分析:

纵观以上N 种方案,对比如下,本着自己动手的观念,首先排除第二种用集成芯片的方法,因为这种方法对设计的要求太低;其次分析方案一可得其RC 桥式正弦震荡电路的占空比受R 和C 共同影响,调节频率时需要调节的元器件参数太多,比较繁琐,并且此震荡电路的频率也不是很好的满足设计的要求。所以综上所述,选择方案三来实现本次的课程设计:555多谐振荡器的频率很好计算和调节,并且输出的波形比较准确;波到三角波的转化可通过简单RC 积分电路来实现;角波到正弦波可通过简单RC 低通滤波器来实现也可通过折现法或者差分法来实现。分析方案得:各个不分的实现有多种办法,但也许理论上比较好的方法在实践中由于环境的种种原因可能并不是最好的,所以最终的方案的细节有待在试验仿真中作

进一步的确定。

♦ 1.2函数信号发生器总体方案框图

♦ 第二章、单元电路设计与分析 ♦ 2.1 信号发电路设计框图

2.2方波发生电路

2.2.1方案选择

方案一:占空比可调的矩形波放声电路(模电知识,通过比较器和积分电路来现)。

方案二:改进型555多谐振荡器电路(数电知识,利用555定时器和积分电路来实现)。

对比如上两个方案,方案一的频率性较差,并且输出电压受到稳压二极管的影响,输出电压幅值不能改变;而方案二频率调节理与方案一很是相似,但是方案二的频率表达式比较简洁,容易计算,而且方案二的输出电压幅值的改变可通过对

555定时器的供电的改变来实现,对于占空比,已对原始的多谐振荡器做了些许改动,能达到1/2的要求。综上,选择方案二。

555定时器的工作原理 :555定时器是一种功能强大的模拟数字混合集成电路,其组成电路框图如图22.32所示。555定时器有二个比较器A1和A2,有一

个RS 触发器,R 和S 高电平有效。三极管VT1对清零起跟随作用,起缓冲作用。三极管VT2是放电管,将对外电路的元件提供放电通路。比较器的输入端有一个由三个5kW 电阻组成的分压器,由此可以获得 和 两个分压值,一般称为阈值。555定时器的1脚是接地端GND,2脚是低触发端TL,3脚是输出端OUT,4脚是清除端Rd,5脚是电压控制端CV,6脚是高触发端TH,7脚是放电端DIS,8脚是电源端VCC。

参数计算:改进型多谐振荡电路主要改进了电容充电和放电的回路,使得回路的时间常数相同即可,再此引入二极管来分开充电和放电回路。

高电平,充电时间 T1=(R 3 +R5)Cln2=0.7(R 3 +R5)C 1 ; 低电平,放电时间 T2=(R 2 +R5)Cln2=0.7(R 2 +R5)C 1 ; 占空比 q= T1/(T1+T2)=(R 3 +R5)/(R 2 +R5)= 0.5 即要求R 3 = R 2 ; 所以方波周期T= T1+T2=0.7((R 3 + R2 + 2R5)C 1);

振荡频率 f=1/T=1.44/((R 3 + R2 + 2R5)C 1);

经过计算,选取C1为200nF,R 3 = R2 =10欧姆。当R5最大时,频率即为1HZ(根据仿真结果),此时

f=1=1.44/((10+10+2R5)*200*10E(-9))取 R5 =1M欧姆即可; f=100k=1.44/((10+10+2R5)*200*10E(-9))取R 5=0时,f>100k; 综上,取 R5 =1M欧姆即可满足频率范围的要求。

2.3方波——三角波转换电路原理图 由积分电路构成方波—三角波产生电路,方波经反向积分电路积得到三角波。方案一:简单的积分电路(由电阻和电容构成)。

方案二:带有放大器的积分电路(由放大器和电阻电容构成)。

对比如上两个方案,在方波的频率改变的情况下,都需改变充电电容,因为方波频率变大时,要求积分时间短,即电容的容量要小,以达到快速充电的要求,否则波形失真;当方波频率变小时,要求积分时间要长,这时增大电容的容量,否则将产生梯形式的方波。两个方案相对没有理论上的优劣,现选择方案一。

原理:输出信号与输入信号的积分成正比的电路,称为积分电路。

电路结构如图,积分电路可将矩形脉冲波转换为锯齿波或三角波,还可将锯齿波转换为抛物波。电路原理很简单,都是基于电容的冲放电原理,这里要提的是电路的时间常数R*C,构成积分电路的条件是电路的时间常数必须要大于或等于10倍于输入波形的宽度。

RC 积分电路是一种应用比较广泛的模拟信号运算电路。在自动控制系统中,常用积分电路作为调节环节。此外,RC 积分电路还可以用于延时、定时以及各种波形的产生或变换。本课题采用RC 积分电路来产生三角波。

此部分的参数不需要具体的计算,可以在仿真实验中具体的连续调节,来找到最合适的电容大小。总之频率变大,调节电容变小;频率变小,调节电容变大即可。2.4三角波——正弦波转换电路原理图

方案选择:

方案一:低通滤波电路(通过简单RC电路来实现)。

方案二:利用差分放大电路的传输曲线(差分放大器的非线性传输曲线)。

方案三:通过折线法来实现。

对比如上方案:

方案一利用低通滤波器将三角波变换成正弦波,将三角波按傅里叶级数展开

其中Um 是三角波的幅值。根据上式可知,低通滤波器的通带截止频率应大于三角波的基波频率且小于三角波的三次谐波频率。当然,也可以利用带通滤波器实现

上述变换;

方案二利用差分放大器的非线性传输曲线来实现,具体原理如下图所示:

方案三的电路连接比较复杂,而且需要的元器件也比较多,调试也比较不方便。

综上所述,及根据试验的结果来看,方案二和方案三的结果波形并不理想,而且调节繁琐,故选择方案一来实现三角波到正弦波的转换。

2.5数字显示输出信号频率和电压幅值 2.5.1基于OP37的峰值检波系统 调幅波的解调即是从调幅信号中取出调制信号的过程,通常称为检波。调幅波解调方法有二极管包络检波器、同步检波器。不论哪种振幅调制信号,都可采用相乘器和低通滤波器组成的同步检波电路进行解调。但是对于普通调幅信号来说,它的载波分量被抑制掉,可以直接利用非线性器件实现相乘作用,得到所需的解调电压,而不必另加同步信号,通常将这种振幅检波器称为包络检波器。目前应用最广的是二极管包络检波器,而在集成电路中,主要采用三极管射极包络检波器。同步检波,又称相干检波,主要用来解调双边带和单边带调制信号,它有两种实现电路。一种由相乘器和低通滤波器组成,另一种直接采用二极管包络检波。

本次课设采用OP37来进行峰值检波。11 2.5.2数码管显示电压

AD 转换就是模数转换,顾名思义,就是把模拟信号转换成数字信号。逐次比较型(如TLC0831)

逐次比较型AD 由一个比较器和DA 转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA 转换器输出进行比较,经n 次比较而输出 数字值。其电路规模属于中等。其优点是速度较高、功耗低。2.5.3对于频率计测频率的方案如下:

方案一:利用做课程设计三题同学的设计电路,完成本次频率设计的要求。方案二:利用VHDL 设计频率计并用quartusII 进行仿真。

本要求并不是此题目的重点,选择方案一相对省时省力,故我们选择方案一。13

♦ 第三章 电路的安装与调试 ♦ 3.1 方波产生的结果

(555定时器构成多谐振荡器产生的方波)♦ 3.2 方波转换为三角波的结果

(积分电路产生的三角波)15 ♦ 3.3 三角波转换为正弦波的结果

(二阶低通滤波器产生的正弦波)♦ 3.4 数字显示频率和幅值的结果

♦ 第四章 结束语

函数信号发生器是本次课程设计的较难的一个题目,经过十天的团队合作,我们勉强完成了本课题所需要求。本设计最大的特色就是信号发生流程相对简单明了,易于理解。但是,它的难度:电路对各元件的参数选择要求比较高,调整波形相对而言不方便。这些问题仅是受我们自身条件的限制,以及时间有限,还没能完美解决。直接数字频率合成器也就是DDS 却可以很好解决这个问题。在过程中尝试了一下,从理论到实际操作,虽然还有一段距离,但是,可以肯定,这是一个很不错的解决方法。

♦ 参考文献

1林涛•《数字电子技术基础》•清华大学出版社•2006年6月•(ISBN 978-7-302-12064-3)

2林涛•《模拟电子技术基础》•重庆大学出版社•2004年12月•(ISBN 7-5623-2831-X/TN•70

3黄志伟•全国大学生电子设计竞赛•北京航天航空大学出版社•2007年2月(ISBN978-7-81077-983-8)

4赵文博•新型常用集成电路速查手册•人民邮电出版社•2006年1月(ISBN7-115-13821-4/TN•2578)

5王伊娜•Multisim8•国防工业出版社•2006年6月•(IBSN7-11804542-X)6.杨刚 周群 电子系统设计与实践 2005年1月 电子工业出版社(ISBN7-5053-9593-9)

7.谢自美 电子线路设计,实验,测试 2006年8月 ♦ 元件清单列表

总体框图:(波形发生器)

(显示模块)收获及体会

课程设计已悄然走到了尾声,回顾此次课设,感慨颇多。的确,从选题到定稿,从理论到实际,在这短短的日子里,可以说是苦多于乐。但是,通过自己动手,学到了许多,不仅巩固了以前很学的,也同时扩展了相关的知识面。

我们拿到课题,想利用555定时器解决波形输出,从各方面来看,利用数模电的思想完成此的设计,颇具优势。

然而,我们在设计和仿真过程中遇到许多困难,包括仿真元件的缺失,对于元件参数的不了解,导致无法选型,面对这些困难,我们查阅了相当多的资料,包括查阅了些许元件的datasheet。我们做电子课程设计,最重要是在自己已接触的知识的基础上扩展,巩固所学,从而创新!我们使用单片机既不得心应手,反而还失去了这次课程的意义。

在我们集体认识课程设计的重要思想后,我们决定用数模电的思路,利用555定时器和其他基本元器件组成合适电路 在电路的设计过程中,三角波转换为正弦振荡电路是其中比较有难度的一部分。第一次做,难免困难重重,主要是模电知识点的应用不灵活,到实际中,无法确定具体元件的参数,具体的计算到实际电路中显得无从下手,实际电路图较原理图更加的不好理解,这是自己的缺点,在以后要重点练习弥补。

最后,我感觉通过具体的实践,自己动手,深刻感知了实践的重要性,明白了理解和应用还是有所不同的,我们应该朝着更高的要求即灵活的应用去努力。

鸣谢

感谢杨兆辉老师,邓秋霞老师的悉心指导,老师渊博的知识、严谨的治学态度、敏锐的学术洞察力、活跃的思想、以及平易近人的师长风范,也使我们受益匪浅。值此论文完成之际,谨向老师致以深深的敬意和衷心的感谢。同样感谢我的同

组同学和其他的老师和同学们,感谢你们的无私帮助,成功不是属于一个人的,而是属于大家的。

篇7:函数信号发生器设计任务书

一、设计的任务和要求............................................................................二、已知条件...................................................................三、函数发生器的具体方案...................................................................1 总的原理框图及总方案..............................................................2 各组成部分工作原理..................................................................3总电路图........................................................................................四、电路的参数选择与仿真.................................................................五、实验结果分析..............................................................附录:电

列表..........................................................................................一. 设计的任务和要求

1.设计任务

设计方波—三角波—正弦波函数信号发生器 2.设计目的

(1)巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能力。

(2)培养根据课题需要选学参考书籍,查阅手册、图表和文献资料的自学能力。通过独立思考,深入钻研有关问题,学会自己分析并解决问题的方法。

(3)通过电路方案的分析、论证和比较,设计计算和选取元器件;初步掌握简单实用电路的分析方法和工程设计方法。

(4)了解与课题有关的电子电路以及元器件的工程技术规范,能按设计任务书的要求,完成设计任务,编写设计说明书,正确地反映设计与实验的成果,正确地绘制电路图等。

(5)培养严肃、认真的工作作风和科学态度。

3.性能指标要求

(1)输出波形:正弦波、方波、三角波等;(2)频率范围:10Hz~500Hz;

(3)输出电压:方波Up-p<=24V,三角波Up-p>10V,正弦波U>1.5V; 波形特征:方波tr<100μS,三角波失真系数THD<2%,正弦波失真系数THD<5%。

二、已知条件:

双运放358一只、三极管3DG6四只(β约为60)

三、函数发生器的具体方案

1.总的原理框图及总方案

图1 函数信号发生器原理图

多波形信号发生器方框图如图1所示。

本课题采用由集成运算放大器与晶体管差分放大器共同组成的方波—三角波—正弦波函数发生器的设计方法。并采用先产生方波—三角波,再将三角波变换成正弦波的电路设计方法:

由比较器和积分器组成方波—三角波产生电路,比较器输出的方波经积分器得到三角波,三角波到正弦波的变换电路主要由差分放大器来完成。设计差分放大器时,传输特性曲线要对称、线性区要窄,输入的三角波的的幅度Um应正好使晶体管接近饱和区或截止区。波形变换的原理是利用差分放大器传输特性曲线的非线性。

2.各组成部分的工作原理

2.1 方波---三角波转换电路的工作原理

图2 方波-三角波转换电路

图2为方波-三角波转换电路,其中运算放大器用双运放uA741。

工作原理如下:

若a点断开,运算发大器A1(左)与R1、R2及R3、RP1组成电压比较器,C1为加速电容,可加速比较器的翻转。运放A2(右)与R4、RP2、C2及R5组成反相积分器,其输入信号为方波Uo1,则积分器的输出电压Uo2为

UO21UO1dt

(R4RP2)C2(VCC)VCCtt

(R4RP2)C2(R4RP2)C2VCC(VEE)tt

(R4RP2)C2(R4RP2)C

2当UO1VCC时,UO2 当UO1VEE时,UO2由此可见积分器在输入为方波时,输出是一个上升速度与下降速度相等的三角波,其波形关系如下图3所示

图3 方波--三角波波形关系

若a点闭合,即比较器与积分器首尾相连,形成闭环电路,则自动产生方波-三角波。

三角波的幅度为:UO2mR2VCC

R3RP1R3RP1

4R2(R4RP2)C2方波-三角波的频率f为: f

由以上两式可以得到以下结论:

1.电位器RP2在调整方波-三角波的输出频率时,不会影响输出波形的幅度。若要求输出频率的范围较宽,可用C2改变频率的范围,PR2实现频率微调。

2.方波的输出幅度应等于电源电压+Vcc。三角波的输出幅度应不超过电源电压+Vcc。电位器RP1可实现幅度微调,但会影响方波-三角波的频率。

2.2 三角波—正弦波转换电路工作原理

图4 三角波—正弦波转换电路

图(4)为实现三角波—正弦波变换的电路。其中Rp3调节三角波的幅度,Rp4调整电路的对称性,其并联电阻RE2用来减小差分放大器的线性区。电容C3,C4,C5为隔直电容,C6为滤波电容,以滤除谐波分量,改善输出波形。三角波-正弦波的变换电路主要由差分放大电路来完成。差分放大器采用单入单出方式。三角波-正弦波波形变换的原理是利用差分放大器传输特性曲线的非线性。

差分放大器传输特性曲线的非线性及三角波-正弦波变换原理如下图:

图5 三角波-正弦波变换原理

分析表明,传输特性曲线的表达式为:

IC2aIE2aI0aI0IaI

C1E11eUid/UT1eUid/UT上式中:aIC/IE1;I0—差分放大器的恒定电流;

UT—温度的电压当量,当室温为25℃时,UT≈26mV。

如果Uid为三角波,设表达式为

UidT4UmT0ttT42

4Umt3TTtT4T2式中:Um—三角波的幅度;T—三角波的周期。

为使输出波形更接近正弦波,由图5可知:(1)传输特性曲线越对称,线性区越窄越好;

(2)三角波的幅度Um应正好使晶体管接近饱和区或截止区。

3.总电路图

整个设计电路如图6所示:

图6 方波—三角波—正弦波函数信号发生器

四、电路的参数选择与电路仿真

本课题采用Multisim 7作为仿真软件。

Multisim是Interactive Image Technologies(Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。

Multisim 7通过直观的电路图捕捉环境, 轻松设计电路;通过交互式SPICE仿真, 迅速了解电路行为;借助高级电路分析, 理解基本设计特征;本课题使用Multisim交互式地搭建电路原理图,并对电路行为进行仿真。

1.方波--三角波部分

参数选择:取才C2=0.47μ

F,C2的取值很重要,按照你电阻的值,要取相应的值,取值不对,会直接影响到你波形输出与否。

调节RP1和RP2,微调Rp1,使三角波的输出幅度满足设计要求,调节Rp2,则输出频率在对应波段内连续可变。

方波-三角波电路的仿真:

在Multisim 7中按方波-三角波转换电路图(图2)接线。调节Rp1和Rp2到设定值,检查无误后,在正确位置接上示波器观察输出波形。

仿真电路图如下:

图7 方波—三角波仿真电路图 2.三角波--正弦波部分

参数选择:C4=470Μf,C5=C6=0.1μF;R6= 5.1KΩ(R6阻值只要大于5)

三角波--正弦波电路的仿真:

在Multisim 10.1中按方波-三角波转换电路图(图4)接线。保证参数正确,检查无误后,在正确位置接上示波器观察输出波形。

仿真电路图如下:

图8 三角波—正弦波仿真电路图

方波—三角波—正弦波函数发生器仿真电路图如下:

图9 方波—三角波—正弦波函数发生器仿真电路图

五、实验结果分析

方波—三角波—正弦波函数发生器电路是分成两个部分来做的,先做方波—三角波产生电路,再做三角波—正弦波变换电路,然后把两张图用线连接成一张完整的大图。

方波—三角波产生电路中的C1其实可以去掉不要的,如果要用的话,取值要比较小,这样才不会影响电路。我的RP2的阻值是200Ω,开始设置的C2是0.1μF,但是总是出不来波形,后来老师说,C2的值太小了。经过我多次的试验,发现0.47μF是最为合适的。最后还要调节RP1和RP2,确保频率范围为10Hz~500Hz。

三角波—正弦波变换电路中C1=470μF,C5=C6=0.1μF,R6=5.1KΩ。R6开始设的值是3.3KΩ,然后仿真就是没有波形出来,问了同学,研究了一会儿,也才知道,R6的阻值必须要大于5KΩ,这样之后才有波形出来了。最后还是一样的,调节Rb1,,测试频率范围。

最后当两张图连在一起之后,不仅要看波形,还要测试输出电压:方波Up-p<=24V,三角波Up-p>10V,正弦波U>1.5V。当一切要求都满足之后,所有的函数发生器设计就完成了。

像做这种实验,要的必须是耐心,还有朋友的帮助,老师的指导,必须做到齐心协力,否则成功的几率是非常小的。

附录1:电路原理图

附录二:元器件清单

直流稳压电源:一台 低频信号发生器:一台 低频毫伏表:一台 双踪示波器:一台 万用表:一块 晶体管图示仪:一台 失真度测试仪:一台 电阻:100Ω:1个

1KΩ:2个

2KΩ:2个

3.3KΩ:1个

5.1KΩ:3个

10KΩ:3个

KΩ:2个 滑动变阻器:47KΩ:2个

200KΩ:一个

1KΩ:一个 电容:0.1μF:两个

0.47μF:一个

10μF:一个

470μF:一个

上一篇:挡墙基槽验收施工汇报材料下一篇:若羌县社会保险管理局2010年度工作总结及