信号发生器

2024-05-05

信号发生器(精选十篇)

信号发生器 篇1

1 系统构成

现有的函数信号发生器多以IC8038构成,电路虽然简单,但其产生信号的频率范围受到外围电容的控制,精确度不高。该函数发生器采用先进的DDS技术利用MSP430作为控制核心,产生正弦波,再利用比较器和积分电路产生方波和三角波。主要包括控制模块、正弦波产生、波形变换、滤波器、人机接口电路、电源等单元电路的设计和制作。根据指标要求,本系统的组成方框图如图1所示:

1.1 单片机

本系统采用美国德州仪器(TI)公司的MSP430系列单片机。其主要特点为:强大的处理能力;超低功耗;高性能模拟技术及丰富的片上外围模块;系统工作稳定;方便高效的开发环境。

1.2 频率合成模块

采用直接数字式频率合成器(Direct Digital Synthesis简称DDS)。DDS技术是根据Nyquis t定理取样,将一个正弦信号取样,量化,编码形成一个正弦函数表存在存储器中。通过寻址查表输出波形数据,再经D/A转换,滤波输出平滑正弦波形。其相应合成信号的输出频率为:

DDS具有高精度,高稳定度,高分辨率,易于控制,频率转换时间短等特点。能满足设计要求。

1.3 波形变换

从AD9850输出正弦波信号后,经过滤波得到较纯净的正弦信号,利用电压比较器得到方波。方波再经积分电路得到三角波输出。

由于采用分立元件构成放大电路。这样电路较为复杂,易受元件本身参数的影响,故不宜调整。

本系统采用运算放大器。电路简单易于调整,对于从100Hz到200KHz带宽的信号较为理想,考虑到性价比,故采用此方案。

1.4 人机接口电路

采用LCD液晶显示及键盘控制。能显示丰富的内容,可以同时清晰的显示多组数据,文字。控制方便,界面清晰。

2 硬件电路设计

2.1 DDS电路设计

本设计采用Analog Device公司的DDS专用集成芯片AD9850。AD9850采用先进CMOS工艺,功耗很低,采用28脚SSOP表面封装,体积小,管脚密。

AD9850有40位寄存器,32位用于频率控制,5位相位控制,1位电源休眠(powerdown)功能,控制字可通过并行的方式或串行方式装入到AD9850。在并行装入方式中,通过8位总线D7-D0将数据装入寄存器,全部40位需要重复5次。在FQ-UD上升沿把40位数据从输入寄存器装入到频率和相位以及控制数据寄存器,从而更新DDS输入频率和相位,同时把地址指针复位到第一个输入寄存器。接着在W-CLK上升沿装入8位数据,并把指针指向下一个输入寄存器,连续5个W-CLK上升沿后,W-CLK的边沿就不再起作用,直到复位信号或FQ-UD上升沿把地址指针复位到第一个寄存器。在串行装入方式中。W-CLK上升沿把25脚(D7)的一位数据串行移入,移动40位后,用一个FQ-UD脉冲就可以更新输出的频率和相位。我们用AD9850来实现正弦波的输出,并用MSP430进行控制。

2.2 波形转换与放大电路

1)方波产生电路本设计采用OP37构成过零比较器。从DDS输出的正弦信号经比较器产生方波信号,实现方波信号的产生。OP37是高速集成运算放大器,具有高的转换速率和宽的频率响应。2)三角波产生电路我们采用了运算放大器OP37构成积分电路,将方波转换成三角波。根据频率要求我们在这里采用分段积分的方式,分成10HZ~100HZ, 100HZ~200HZ, 200HZ~1KHZ, 1KHZ~10KHZ, 10KHZ~100KHZ, 100KHZ~200KHZ共六个波段。3)放大电路采用宽频运算放大器AD844做前级电压放大,采用同相比例运算电路构成放大电路。

2.3 滤波器电路

为更好滤除DDS输出谐波分量及系统时钟干扰,采用椭圆函数滤波器,具体设计如图3所示:

2.4 稳压电源电路

电源方案采用变压器将220V交流电变换为20V交流电,再进行全波整流、滤波,最后用三端稳压管7812和7805进行稳压,可分别得到±12V,±5V。

3 单片机软件设计

软件设计完成频率控制及键盘输入、显示、语音(下转第213页)等功能。单片机软件流程图如图4所示:

4 结果测试

测试时采用的仪表有数字存储示波器DS5062M;数字万用表VC9807A+QBG-3D高频Q表;DF2811ALCR数字电桥。

通电进行测试,实现了在100KHZ-200KHZ间的频率步进,每次进行控制操作都可以有语音提示,并在1KΩ的负载上可以使输出信号的幅度峰峰值达到3V左右,输出波形没有明显失真,此信号发生器在准确性、可靠性以及稳定性上基本达到了设计要求并具有一定的水平。

参考文献

[1]沈建华, 杨艳琴编著.MSP430系列16位超低功耗单片机原理与应用[M].清华大学出版社.

[2]张毅坤.单片微型计算机原理及应用[M].西安:西安电子科技大学出版社, 1998.

函数信号发生器设计 篇2

⑴ 设计并制作能产生正弦波、矩形波(方波)和三角波(锯齿波)的函数发生器,本信号发生器可以考虑用专用集成芯片(如5G8038等)为核心实现。⑵ 信号频率范围: 1Hz∽100kHz;

⑶ 频率控制方式:

① 手控通过改变RC参数实现;

② 键控通过改变控制电压实现;

③ 为能方便地实现频率调节,建议将频率分档;

⑷ 输出波形要求

① 方波上升沿和下降沿时间不得超过200nS,占空比在48%∽50%之间;② 非线性误差≤2%;

③ 正弦波谐波失真度≤2%;

⑸ 输出信号幅度范围:0∽20V;

⑹ 信号源输出阻抗:≤1Ω;

⑺ 应具有输出过载保护功能;

基于DDS的宽带信号发生器的设计 篇3

【关键词】 信号发生器,DDS,AD9850,AT89S51

信号发生器在当今通信、电子测量仪表、雷达等电子系统中发挥着非常重要的作用。随着科学技术的发展和测量技术的进步,普通的信号发生器已无法满足目前电子技术领域的需要,而DDS技术是一种新兴的直接数字频率合成技术,具有频率分辨率高、频率切换速度快、切换相位连续、输出信号相位噪声低、可编程、全数字化易于集成、体积小、重量轻等优点。利用DDS技术设计信号发生器,输出信号精度高,频带范围宽,并且控制简单方便,是信号发生器研究的一个重要方向。

1 DDS的基本原理

直接数字频率合成器是从相位概念出发直接合成所需波形的一种频率合成技术。一个直接数字频率合成器通常由相位累加器、加法器、波形存储ROM、D/A转换器和低通滤波器(LPF)组成。DDS的组成结构如图1所示。其中,K为频率控制字(也叫相位增量),P为相位控制字,W为波形控制字,fc为参考时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K累加,输出的N位二进制码与相位控制字P、波形控制字W相加后作为波形ROM的地址来对波形ROM进行寻址,波形ROM输出的D位幅度码S(n)经D/A转换变成阶梯波S(t)后,再经过低通滤波器平滑,就可以得到合成的信号波形。由于合成的信号波形取决于波形ROM中存放的幅度码,因此,用DDS可以合成任意波形。

2 系统设计方案

采用DDS作为信号发生核心器件的函数信号发生器实现方案,根据输出信号波形类型可设置、输出信号幅度和频率可数控、输出频率宽等要求,选用了美国A/D公司的AD9850芯片,并通过单片机程序控制和处理AD9850的32位频率控制字,再经放大后加至数字衰减网络,从而实现了信号幅度、频率、类型以及输出等选项的全数字控制。

该函数信号发生器的结构中,单片机AT89S51是整个系统关键部分,通过对键盘进行扫描读入相位信息,经转换后输出到芯片AD9850,输出波形。键盘输入的数字信息经AT89S51控制的LCD显示。

3 硬件设计

3.1 AD9850芯片介绍

AD9850采用先进的CMOS工艺,其功耗在3.3V供电时仅为155mW,扩展工业级温度范围为-40~80℃,采用28脚SSOP表面封装形式。

3.2 单片机与AD9850的接口

單片机与AD9850的接口既可采用并行方式,也可采用串行方式,但为了充分发挥芯片的高速性能,应在单片机资源允许的情况下尽可能选择并行方式。如下图所示,在硬件上,AD9850的数据线D0~D7与单片机P1口相连,FQ-UD和W-CLK分别和P2.6、P2.7相连,RESET端与单片机的复位端直接相连。

图  单片机与AD9850接口电路

3.3  滤波电路

低通滤波器可以分为巴特沃什滤波、切比雪夫滤波、贝赛尔滤波和椭圆滤波等。在该系统中,为了使输出信号频率最高100KHz时能够最低程度地降低AD9850外部系统时钟的干扰,采用具有较窄过渡带特性的椭圆滤波器,并采用7阶椭圆低通滤波。

3.4 功率放大电路

AD9850输出信号的电流约为10mA,输出阻抗50Ω,电压≦0.5V,达不到要求,为此必须经过功率放大电路。对于功率放大电路部分,我们选择集成宽带高性能运算放大器AD811。AD811为电流反馈性宽带运放,其单位增益带宽很宽,±15V供电情况下,-3dB带宽达100MHZ,适合本系统的宽带放大要求,且输出电流可达100mA。

3.5  键盘输入接口及LCD接口电路

本系统中的数字输入设置电路采用2*8矩阵键盘。由于LCD具有显示内容多,电路结构简单,占用单片机资源少等优点,本系统采用RT1602C型LCD液晶显示屏来显示信号的类型、频率大小和正弦波的峰-峰值。同样,考虑到AT89S51单片机的IO引脚资源有限,本系统的键盘输入及LCD输出均通过74HC245连接到AT98S51单片机的P0端口,从而实现端口扩展和复用。

4软件设计

系统软件设计采用汇编语言来编写程序。软件模块包括主程序(AT89S51初始化、AD9850初始化、LCD初始化)、显示子程序、键盘输入子程序、信号发生与控制子程序4部分组成。信号发生器系统主程序流程。

5结束语

通过严格的实验测试证明,本系统采用DDS完全可以实现输出信号类型的选择设置、信号频率数字预置等功能,是一种输出信号频率覆盖宽、信号源分辨率高、波形失真小的函数信号发生器,具有一定的实用开发价值。

参考文献

[1] 张静,李廷军,刘长茂,郭海燕,基于DDS的高分辨率信号发生器的实现[J],现代电子技术,2004,14.

[2] 张毅刚,彭喜元,孟升卫, MCS-51单片机实用子程序设计, 哈尔滨工业大学出版社,2003

[3] 高泽溪,高成,直接数字频率合成器(DDS)及其性能分析,北京航空航天大学学报,1998(10).

作者简介:

张天鹏,男,(1981-),河南开封人,讲师,从事高校机电专业教学研究

魏蔚,女,(1981-),河南开封人,讲师,从事高校计算机专业教学研究

信号发生器的设计 篇4

信号发生器是一种常用的信号源,它是一种为电子测量和计量工作提供信号的设备。和示波器、电压表、计数器等仪器一样是应用最广泛的电子仪器,几乎所有的电参量的测量都需要信号发生器。在各种试验应用和试验测试处理中,信号发生器根据使用者的要求,作为激励源,仿真各种测试信号,提供给被测电路,以满足测量或各种实际需要。

信号发生器的应用非常广泛,种类繁多。首先,信号发生器可以分通用和专用两大类,专用信号发生器主要为了某种特殊的测量目的而研制的,如电视信号发生器、脉冲编码信号发生器等,这种发生器的特性是受测量对象的要求所制约;其次,信号发生器按照输出波形又可分为正弦波信号发生器、脉冲波信号发生器、函数信号发生器和任意波发生器;再次,按其产生频率的方法又可分为谐振法和合成法两种。一般传统的信号发生器都采用谐振法,即用具有频率选择性的回路来产生正弦振荡,获得所需要的频率。但也可以通过频率合成技术来获得所需频率。下面介绍简单信号发生器的设计及仿真,设计软件为EWB。

EWB是Electronics Workbench的缩写,称为电子工作平台,是一种在电子技术界广为应用的优秀计算机仿真设计软件,被誉为"计算机里的电子实验室"。其特点是图形界面操作易学、易用、快捷、方便,真实、准确,使用EWB可实现大部分硬件电路的仿真。

1 设计方案

多波形信号发生器的框图如图一所示。

在图一中,由Vo2端输出三角波(锯齿波),Vo3端输出正弦波,Vo1端输出窄脉冲(方波)。

利用集成运算放大器构成的多波形函数发生器电路可根据方框图逐个设计每个部分的电路图,并将其连接起来。其中AR2组成滞回比较器,AR3组成积分器,通过正反馈环路使电路产生振荡,便可在AR2输出端(Vo1)产生方波输出,该方波经AR3积分后,在其输出端(Vo2)产生三角波输出。

2 信号发生器分块设计

信号发生器主要应用运算放大器组成的滞回比较器、积分器和带通滤波器来产生各种信号。

3 滞回比较器

运算放大器在开环下构成一个电压比较器,如果引入正反馈,可以构成具有回线形状传输特性的滞回比较器,如图二所示。

4 方波发生器

方波发生器是一种能产生方波的信号发生电路,由于方波包含各次谐波分量,因此方波发生器又称为多谐振荡电路。由AR3组成的积分器,通过正反馈环路使电路产生振荡,再把Vo2端的振荡信号由滞回比较器的正向输入,最后由图二中的Vo1端产生方波输出。

5 积分器

AR3组成的积分电路如图三所示。

6 三角波发生器

三角波发生器电路如图四所示,它由一个同相输入滞回比较器和一个积分器构成。滞回比较器的输出作为积分器的输入,积分器的输出(即三角形发生器的输出)作为滞回比较器的输入。

将图四中的开关闭合则导引二极管D3和电阻R7与R6并联,使积分器在两个方向上的积分时间常数产生较大差异,使三角波电压下降边时间大大缩短,从而将三角波变为锯齿波,而方波则由于正负半周严重不对称,而成为窄脉冲。

7 带通滤波器

滤波器是最通用的模拟电路单元之一,它的功能是使指定频段的信号能比较顺利通过,而把其他频率的信号隔离或抑制。在本次设计中选择带通滤波器,如图五所示。方波可以根据傅立叶变换分解为不同频率的正弦波,而带通滤波器允许特定频率的正弦波通过。如果正弦波的频率在带通滤波器允许的频率范围内,则可以通过而在示波器上显示出来。

多波形信号发生器电路如图六所示。

8 信号发生器仿真结果

在图七中可以看出由Vo2端输出三角波,由Vo1端输出方波,且周期均为19.1374ms,即频率为52.3Hz。

连接开关S1使二极管和电阻R7与R6并联,闭合仿真电源开关,打开示波器面板图,可以观察脉冲—锯齿波波形图。

图中可以看出在Vo1和Vo2端分别实现了锯齿波和脉冲的输出,且周期为12.2282ms,频率为81.8Hz。

将带通滤波器的输入端接至方波发生器输出端可变电阻R4的抽头处,将示波器接至Vo3,闭合仿真电源开关,打开示波器面板,测得方波及方波经带通滤波器转换后的正弦波。

此电路实现了所需波形的产生,周期为10.1291ms,频率为98.7Hz。

摘要:本设计是基于软件进行信号发生器的设计。此信号发生器完成正弦波、三角波、锯齿波以及脉冲信号的产生。EWB被称为电子工作平台,为电子电路的设计提供了一个良好的工作平台。使用EWB进行电路设计,可根据需要改变电路结构和调整元件参数,从而达到电路设计的要求,并且为设计电子电路节省时间、财力。

关键词:信号发生器,EWB

参考文献

[1]周常森.电子电路计算机仿真技术[M].济南:山东科学技术出版社,2001,9.

[2]童诗白,华成英.模拟电子技术基础[M].北京:高等教育出版社,1982.

信号发生器 篇5

1、函数信号发生器

函数发生器是使用最广的通用信号源信号发生器,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。

函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。

2、任意波形发生器

任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。

由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波形编辑软件生成波形,有利于扩充仪器的能力,更进一步仿真实验。另外,内置一定数量的非易失性存储器,随机存取编辑波形,有利于参考对比,或通过随机接口通讯传输到计算机作更进一步分析与处理。有些任意波形发生器有波形下载功能,在作一些麻烦费用高或风险性大的实验时,通过数字示波器等仪器把波形实时记录下来,然后通过计算机接口传输到信号源,直接下载到设计电路,更进一步实验验证。

泰克推出的AFG3000系列三合一信号源,可以完成以上提到的功能,并且在波形输出的精度、稳定性等方面都有较大提高,是走在行业前列的新一代任意波发生器。

信号源的主要技术指标

传统函数发生器的主要指标和新近研发的任意波形发生器的主要指标有一些不同,我们这里分开介绍。

(一)普通函数发生器的主要指标:

带宽(输出频率范围)

仪器的带宽是指模拟带宽,与采样速率等无关,信号源的带宽是指信号的输出频率的范围,并且一般来讲信号源输出的正弦波和方波的频率范围不一致,例如,某函数发生器产生正弦波的频率范围是1mHz~240MHz,而输出方波的频率范围是1mHz~120MHz。

频率(定时)分辨率

频率分辨率,即最小可调频率分辨率,也就是创建波形时可以使用的最小时间增量。

频率准确度

信号源显示的频率值与真值之间的偏差,通常用相对误差表示,低档信号源的频率准确度只有1%,而采用内部高稳定晶体振荡器的频率准确度可以达到108~1010。例如,某信号源的频率准确度为1ppm。

频率稳定度

频率稳定度是指外界环境不变的情况下,在规定时间内,信号发生器输出频率相对于设置读数的偏差值的大小。频率稳定度一般分为长期频率稳定度(长稳)和短期频率稳定度(短稳)。其中,短期频率稳定度是指经过预热后,15分钟内,信号频率所发生的最大变化;长期频率稳定度是指信号源经过预热时间后,信号频率在任意三小时内所发生的最大变化。

输出阻抗

信号源的输出阻抗是指从输出端看去,信号源的等效阻抗。例如,低频信号发生器的输出阻抗通常为600Ω,高频信号发生器通常只有50Ω,电视信号发生器通常为75Ω。

输出电平范围

输出幅度一般由电压或者分贝表示,指输出信号幅度的有效范围。另外,信号发生器的输出幅度读数定义为输出阻抗匹配的条件下,所以必须注意输出阻抗匹配的问题。

(二)任意波发生器的主要指标:

取样(或采样)速率

取样速率通常用每秒兆样点或者千兆样点表示,表明了仪器可以运行的最大时钟或取样速率。取样速率影响着主要输出信号的频率和保真度。奈奎斯特取样定理规定,取样频率或时钟速率必须至少是生成的信号中最高频谱成分的两倍,以保证精确的复现。

存储深度(记录长度)

存储深度是指用来记录波形的数据点数,它决定着波形数据的最大样点数量(相当于时间)。每个波形样点占用一个存储器位置,每个位置等于当前时钟频率下取样间隔时间。任意波形发生器的带宽是由任意波发生器的取样速率和存储深度决定的。

垂直(幅度)分辨率

信号源的垂直分辨率是指信号源中可以编程的最小电压增量,也就是仪器数模转换器的二进制字宽度,单位为位,它规定了波形的幅度精度。在混和信号源中,垂直分辨率与仪器DAC的二进制字长度有关,位越多,分辨率就越高。

信号源的主要功能

一台功能较强的信号源,还有信号调制、频率扫描、TTL同步输出、参考时钟输出、Burst及频率计等功能:

信号调制功能:信号调制是指被调制信号中,幅度、相位或频率变化把低频信息嵌入到高频的载波信号中,得到的信号可以传送从语音、到数据、到视频的任何信号。信号调制可分为模拟调制和数字调制两种,其中模拟调制,如幅度调制(AM)和频率调制(FM)最常用于广播通信中,而数字调制基于两种状态,允许信号表示二进制数据。

频率扫描功能:测量电子设备的频率特点要求“扫描”正弦波,其会在一段时间内改变频率。一般分成线性(Lin)扫频及对数(Log)扫频;高级信号发生器支持扫频功能,而且可以选择开始频率、保持频率、停止频率和相关时间,有些信号发生器还提供与扫频同步的触发信号。

TTL同步输出功能:一般信号源输出的TTL同步信号是方波经三极管电路转成的,电平为0(Low)、3.6~5V(High)。主要用来同步其他信号源,或其他类型的仪器,以保证触发同步。

参考时钟输出功能:TTL同步输出只能保证触发同步,要想使信号源完全同步就要让时钟同步,参考时钟输出就是为了让两台信号源的时钟同步而设计的,一般参考时钟输出频率较稳定的方波信号。

Burst功能:类似OneShot功能,输入一个TTL信号,则可让信号源产生一个周期的信号输出,设计方式是在没有信号输入时,输出接地即可。

频率计:除市场上简易的刻度盘显示之外,无论是LED数码管或LCD液晶显示频率,其与频率计电路是重叠的。

信号发生器 篇6

关键词:信号发生器;DA转换;FPGA;DDS

中图分类号:TM933.4文献标识码:A文章编号:1007-9599 (2010) 16-0000-01

FPGA-based Design of Simple Two-phase Signal Generator

Wang Yongqiang

(Harbin Institute of Technology,Harbin150081,China)

Abstract:The system is based on Altera CycloneⅡEP2C8Q208C8N as the core controller,the use of FPGA chips to complete the sine and square wave signals and parameters of the regulatory function occurs.The system consists of four modules:power supply module,control module,D/A converter module and filter module.Control part of the VHDL language with a direct digital frequency synthesis (DDS) signal generator,the signal generator at a specific frequency range for the two output sine wave,square wave,which is a frequency, amplitude and phase can be a simple two-phase control signal generator and the output waveform can choose other control functions.

Keywords:Signal generator;DA conversion;FPGA;DDS

一、设计任务

设计、制作一个简易双相信号发生器,在特定的频率范围内输出正弦波,信号的幅度和相位差可以程控设置,也可以输出方波。

二、设计方案

Altera CycloneⅡEP2C8Q208C8N为核心控制器,利用FPGA芯片完成了正弦波和方波信号的发生及其参数的调节功能。该系统主要由四个模块组成:电源模块、控制模块、D/A转换模块及滤波模块。

(一)控制模块

DDS是数字式的频率合成器,其系统框图如2-1所示。

DDS的工作过程:每次系统时钟的上升沿来时,相位累加器(24位)中的值累加上频率寄存器(12位)中的值,再用累加器作为地址进行ROM查表,查到的值送到D/A进行转换。这个过程需要几个时钟周期,但用VHDL设计,每个周期每部分都在工作,实现了一个流水线的操作,实际计算一个正弦幅度只用一个时钟周期,但是会有几个周期的延时。

控制模块是整个系统的核心部分,控制电路的设计主要是用FPGA实现DDS的核心部分即相位累加器、脈冲产生、波形查找表、波形数据寄存器。

相位累加器:相位累加器的功能是改变输出信号的相位。相位累加器由N位加法器和N位累加器级联构成,每一个时钟脉冲 ,加法器将控制字k与累加寄存器输出的累加相位数据相加,把相加后的结果送到累加寄存器的数据输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字相加,相位累加器输出的数据就是合成信号的相位,相位累加器的输出频率就是DDS的输出信号的频率。

ROM波形数据表:在一个周期内ωt的取值范围为0-2π,对应y=f(x)形式,即0

sin(x)=sin(π-x)=-sin(π+x)=-sin(2π-x)(式1)

其中,x位于区间0-π/2。可见其他部分均可以用0-π/2的部分表示。这样可将ROM的大小压缩到原来的1/4。在实现时, 个ROM单元只用 个ROM单元就可以实现。对于基准时钟 为128KHz的DDS模块,如果所用ROM的地址宽度为18位,可截取相位累加器到12位作为ROM地址。截取高12位作为访问ROM一个周期波形数据的最大地址。由此可看出ROM的地址没有被全部占用,这样可使存储器得到充分的利用。

正弦波发生:由于正弦波的非线性,所以对算法有较严的要求。对于一个正弦波,它在一个周期里变化有规律可循,只需要算出1/4个周期的幅值,则可得出其他3/4个周期的幅值,而我们所设计的过程中,也正是采用了这个规律来简化采样点数。

方波发生:由于时钟脉冲输出即是方波波形,所以对方波的设计可以简化为直接输出时钟脉冲信号。

(二)D/A转换模块

从波形ROM中读出的幅度量化数据还只是一个数字信号,要得到最后的输出信号必须经过数模转换器。因此在波形ROM之后要设计一个D/A转换电路。D/A转换芯片要根据很多因素来确定,最主要的就是要考虑字长和转换速度。本系统中的D/A转换及滤波电路是由AD7541转换电路方案来实现,它是高性能的12位分辨率的数模转换芯片。D/A转换电路如图2-2所示。

(三)滤波模块

滤波电路的作用实质上是“选频”,即允许某一部分频率的信号顺利通过,而使另一部分的频率的信号被急剧衰减(即被滤掉)。如图2-3所示的电路能提供低通、带通、高通三种滤波特性。

三、结束语

本系统基于直接数字频率合成技术,实现了频率范围800Hz到1200Hz正弦信号的无失真输出。测试结果表明,基于FPGA的DDS信号发生器具有波形稳定、平滑的特点。用FPGA实现DDS调频,调相信号电路较采用专用DDS芯片更为灵活,只要改变FPGA中的ROM内的数据和控制参数,DDS就可以产生任意调制波形,且分辨率高具有相当大的灵活性。整体而言,系统性能良好,输出信号稳定。

参考文献:

[1]吴继华,王诚.Altera FPGA/CPLD设计.人民邮电出版社,2005

[2]余勇,郑晓林.基于FPGA的DDS正弦信号发生器的设计和实现.电子器件,2005

信号发生器 篇7

旋转设备的角速度检测常用的光电编码、测速发电机等都不同程度地存在体积大、结构复杂、成本高等不足之处。设计利用电磁感应原理,使磁极在固定的印制有电磁绕组的平面PCB板上旋转,PCB板的绕组便输出与磁极旋转速度成正比例的正弦交流信号[1]。该设计将会使旋转设备速度检测简单化、微型化、低成本。本文分析研究这种旋转磁极平面信号发生器的组成与信号参数关系。

1 构造设计

1.1 磁极

环形永久磁体是在一个圆周上具有P对磁极且每个磁极的磁密以正弦形式分布的扁平结构磁体,环形永久磁体用磁轭包裹装夹,能够在轴受外力时旋转,当轴转动磁体也一起转动。

1.2 绕组

在磁环端面下方设置有PCB电路板,PCB印制绕组采用单排多组导片绕组或双排多组导片布置结构(见图2)。磁极与绕组间气隙的磁密分布为正弦形式,PCB板上环型绕组的中心作为P对永久磁环转子的连接固定轴孔。根据电磁感应原理,当永久磁极旋转时,绕组两端即产生与磁极旋转转速成比例的正弦电压信号[2]。

2 信号分析

2.1 信号与相关参数关系分析

环形永久磁极对数为P,磁密分布为正弦形式,其最大值为Bm, 则磁场的周期为2πp,每对磁极所占的空间角度为2πp,相邻每对磁极下分布有Zr个等间距且等宽的绕组导片,导片总数为pZr,则每个导片的宽度为2πpΖr,同一导片的两边间距为πpΖr,导片的长度为l,导片的内半径为r0,轴的转速为n,对应的角频率为ω,磁场沿圆周的变化规律为:B=Bmsin2πpn60t

导片的一条边所产生的感应电势为:

es=r0r0+lBmsin2πpn60tωrdr=ωl(r0+l/2)Bm×sin2πpn60t=Asinβt

式中:A=ωl(r0+l/2)Bm;β=2πpn60

t时刻第一个导片中心线处的角度为βt,则导片一条边的角度为βt-π2pzr,另一个导线的角度为βt+π2pzr

该导片的两条边所产生的感应电势为:

e=Asin(βt-π2pΖr)-Asin(βt+π2pΖr)=2Acosβtsinπ2pΖr

Zr个导片中心线角度分别为:βt,βt+2πpΖr,βt+22πpΖr,βt+32πpΖr,βt+(Ζr-1)2πpΖr

一个磁场周期内(一对NS极下)的电动势为:

EΤ=2Acosβtsinπ2pΖr+2Acos(βt+2πpΖr)sinπ2pΖr++2Acos[βt+(zr-1)2πpΖr]sinπ2pΖr=2Asinπ2pΖr[cosβt+cos(βt+2πpΖr)+cos(βt+22πpΖr)]++cos[βt+(zr-1)2πpΖr]

p对磁极时的总电动势为:E=ETP

所以总电动势E为:

E={2BmlRpωsin(π2pΖr)[(Ζr-1)cos((Ζr-1)πpΖr)+1]×cos(βt+(Ζr-1)πpΖr),Ζr2BmlRpωsin(π2pΖr)Ζrcos((Ζr-1)πpΖr)×cos(βt+(Ζr-1)πpΖr),Ζr

E有关的量为[3]:

磁场最大值Bm、导片导线长度l、转子转速n或角速度ω、环形永久磁体中心圆半径R=(r0+l/2)、磁极对数P、磁场一个周期内导片数Zr等因素有关。

2.2 MATLAB仿真

用MATLAB分析各参数仿真输出[4]。

2.2.1 P变化时的电势输出波形如图4。

z=3,n=10,p=1:1:20,f1=pn.sin(pi./(2pz)).((z-1).cos((z-1)(pi./(zp)))+1),plot(p,f1)

从图可知,合理的磁极对数最大为4,同时也对永久磁极的生产进行了调研,从制作工艺上能够实现的磁极对数也是P=4。

2.2.2 Zr为奇数变化时的波形如图5。

z=1:2:31,n=10,p=4,f1=pnsin(pi./(2p.z)).(z-1).cos((z-1).(pi./(z.p)))+1,plot(z,f1),

从图可知,合理的取值不大于5。

2.2.3 Zr为偶数变化时的输出波形

如图6所示。

z=2:2:30,n=10,p=4,f1=pnsin(pi./(2p.z)).z.cos((z-1).(pi./(z.p))),plot(z,f1)。

对比图5和图6,Zr的取值为奇数且不大于5。

2.2.4 P=4, Zr=3, n=10时的电势输出波形

如图7。

f1=pnsin(pi/(2pz))((z-1)cos((z-1)(pi/

(pz)))+1)cos((2pizpn)/60t+(z-1)×

(pi/(pz))),f2=10sin(t),plot(t,f1,t,f2)。

2.3 结果分析

综合n=10、20、30时的仿真结果,做出信号频率f或周期T与转速n(r/s)的关系曲线,如图9所示,从图可以看出,输出信号频率fn为线性关系。

3 结论

分析以上得出结论。

(1)合理的磁极对数值为P=4。

(2)每对磁极下的导片数的合理取值为Zr=3。

(3)输出波形与速度的变化密切相关,磁极的旋转速度越大,输出信号的频率越高,呈现线性关系,转轴速度的变化体现在输出信号的频率变化上。

将此装置可用于转速测量、永磁无刷电机的锁相调速等环节,永磁外转子无刷电动机进行锁相锁频速度控制系统中,需要检测电机转子速度,且以频率的形式输入到控制单元,运用旋转磁极平面函数信号发生器检测转速非常方便,特别是电机的永磁外转子的端面P对磁极能作为平面函数信号发生的磁极,使之整体电机与测速一体,减小体积,提高可靠性。

参考文献

[1]裴鹏.高精度正弦信号发生器的研制.南昌:南昌大学,2008

[2]程守洙,江永之.普通物理学.北京:高等教育出版社,1982

[3]四川大学数学系高等数学教研室.高等数学(物理类专业用).北京:高等教育出版社,1995

任意信号发生器软件设计 篇8

在传感器设计、模拟试验等方面经常需要产生一些测试信号,包括简单的正弦波、三角波、方波、锯齿波、Sin(x)/x、升指数、降指数、真流电压、脉冲、随机噪声等,还需要心律波、地震波、碰撞波等特殊的信号,在移动通信的电子设备设计与测试领域还需要简单的模拟和数字调制信号以及实际应用的通信信号,在雷达设备的研制过程中还需要简单脉冲信号、脉内调制信号以及脉冲串信号等,在声纳设备的研制和测试中还需要一些水声信号。所以任意信号发生器的应用领域是很广泛的,而当前任意信号发生器由于硬件的限制,往往设计成某频段的针对某特殊领域的信号发生器,从严格的意义上讲,并不能任意产生所需的信号。本文从软件的角度出发,研究设计可以在各种任意信号发生器之间移植重复利用,可以编辑产生任意信号的软件。直接利用这种软件到任意信号发生器系统,可以减少设备的研制复杂度,开发和维护方便经济。

2 任意信号发生器的工作原理

这种任意信号发生器的工作原理是:主要根据信号模型,产生所需信号波形的采样点值,在控制逻辑的控制下存储到波形数据存储设备中,一般是数字射频存储器,再通过控制逻辑将数据点值读出送到D/A转换成模拟信号波形,形成实际的信号。其工作原理图如图1所示。这种从模拟信号波形到离散数值再到模拟信号波形的转换依据是奈奎斯特采样定理。奈奎斯特采样定理可表述如下:设有一个频率带限信号f(t),其频带限制在(0,fh)内,如果以不小于fs=2· fh的采样速率对f(t)进行等间隔采样,得到时间离散的采样信号f(n)= f(n·Ts)(其中Ts =1/fs称为采样间隔),则原信号f(t)将被所得到的采样值f(n)完全确定。采样定理的数学表达式为:undefined。采样率越高,越能拟合所需的物理信号波形,在不改变输出信号样值点速率和采样频率大于或等于奈奎斯特采样频率的前提下,改变每周期的采样点数,就可以改变输出信号频率。这种软件可以安装在计算机上或者直接安装在任意信号发生器内。

3 软件的总体设计思想

该软件充分运用软件无线电理论、计算机技术、数字信号处理技术,主要运用软件化的设计理念进行设计。

3.1 波形数据的方式

该软件设计了4种产生波形数据的方式:

(1) 数据采集法。在计算机的控制下,利用数据采集卡采集外部信号,存储到波形数据存储器中,然后经D/A输出数据形成信号波形。

(2) 预置程序产生法。利用计算机屏幕上的软件界面选择具体的信号类型,然后调用此信号模型对应的数据产生程序进行计算处理,生成数据点值,在计算机的控制下,存储到波形数据存储器中,最后经D/A输出数据形成信号波形。

(3) 读取外部文件法。利用计算机屏幕上的软件界面,读取外部文件的波形数据,存储到波形数据存储器中,经D/A输出数据形成信号波形。

(4) 动态编辑法。包括波形手绘法,键盘输入点值法以及输入公式计算法。利用计算机屏幕上的软件界面,利用鼠标绘出波形,转换成数据点值;或利用键盘输入数据点值;或输入表达式计算得到波形数据点值;然后存储到波形数据存储器中,再经D/A输出数据形成信号波形。

其数据产生方式示意图如图2所示。

3.2 软件结构设计

软件的功能结构框图如图3所示。

(1) 控制模块主要是控制程序,控制和协调各模块之间控制信息和数据信息的传递,控制和协调各模块和器件的动作;

(2) 波形数据生成模块包括各种信号的数据产生程序,主要完成波形数据的计算;

(3) 数据输出模块包括数据输出输入程序,完成数据存储、对外送数据和控制信号的功能;

(4) 特殊算法模块包括噪声、信道模拟、滤波器设计等程序;

(5) 显示模块包括各种显示程序,实现屏幕可视化;

(6) 客户输入模块完成接收用户输入的各种参数和数据;

(7) 读取(采集)模块包括磁盘读取程序和数据采集程序,完成从第三方接收数据的功能。

4 数据结构的设置

4.1 信号数据模式的设置。

因为信号发生器能产生的都是实信号,所以在时域信号强制为实数,虚数部分强制为0。在数据处理中将有频谱分析和处理,频域部分设置了实部和虚部。由于当前信号产生器常设计为正交双通道型,所以数据也设置了I路和Q路数据模式,这种模式可选。

4.2 信号数据类型的设置

由于数据设置了I路和Q路数据,所以采样点数据类型设置为doublecomplex结构体,内含两个double型的数据变量,分别用来表示I路和Q路数据。用double而不用float是因为要求获得较高的数据精度。当不采用正交双通道模式时,I路为全部数据,Q路强制为0。

信号数据的采样点最大值归一化为1,数据都归一化。实际信号的功率实现放在放大器里面实现。在数据处理时需要将实信号转换成复数。在复数表达时,也用doublecomplex结构体来表示数据,内含的2个double数据变量分别表示数据的实部和虚部。在进行时频转换时,把I路和Q路的数据相加作为复数的实部,虚部为0,这样进行FFT正变换,得到信号频谱,FFT输出也是复数形式,即数据类型也用doublecomplex 。

5 软件的总体工作流程

软件的具体工作流程比较复杂,总体的工作流程如图4所示。

6 软件的实现

由于Borland C++Builder利于编写友好的人机交互界面,Borland C++Builder的编译器优于VC++,也可以调用Matlab程序,所以采用此工具。这种软件实现的关键在于各个信号模型的建模,包括各种简单信号、通信、雷达、水声、噪声以及特殊信号的建模。在研究的过程中,借鉴了一些前人对信号建模的经验。通过采用Borland C++Builder 6.0作为编程工具,在PC机上的Windows XP环境下编写程序,初步实现了这种软件的目的。以下是这种软件产生的部分信号。图5是载波为80 MHz,最大频偏为25 MHz的MSK信号。图6是重复频率为50 MHz,占空比为0.5,载波为1 GHz的雷达脉冲信号。图7是随机冲击信号及其频谱。

7 结 语

利用这种软件可以在友好的可视化人机交互界面上方便地实现各种调制样式,能够产生各个频率段的信号、能够产生复杂的特殊信号;并且这种软件操作方便,当出现新的信号样式时,可以在软件中予以追加相应的信号样式,升级方便经济;因此这种基于任意波发生器的信号产生软件具有十分广泛的应用前景。

摘要:在传感器设计、模拟试验等方面经常需要产生一些测试信号,一台能方便产生各种有规律和不规则信号的任意信号产生器将减少设备的研制复杂度。从软件的角度着手,提出一种任意信号发生器软件的设计方法,这种软件可以在各种任意信号发生器硬件之间移植重复利用,所以具有良好的应用前景。介绍本任意信号产生器的原理及软件结构设计和信号数据的产生方法,给出部分由本任意信号产生器产生的信号波形图。

关键词:任意信号发生器,软件设计,数字射频存储器BCB,信号波形图

参考文献

[1]郑君里,应启珩,杨为理.信号与系统[M].2版.北京:高等教育出版社,2000.

[2]刘益成,孙祥娥.数字信号处理[M].北京:电子工业出版社,2005.

[3]程佩青.数字信号处理教程[M].北京:清华大学出版社,2001.

[4][美]John G.Proakis.数字通信[M].4版.张力军,张宗橙,郑宝玉,等译.北京:电子工业出版社,2005

[5]樊昌信.通信原理教程[M].北京:电子工业出版社,2005.

[6]杨小牛,楼才义,徐建良.软件无线电原理与应用[M].北京:电子工业出版社,2005.

[7]樊昌信.通信原理教程[M].北京:电子工业出版社,2005.

[8]林茂庸,柯有安.雷达信号理论[M].北京:国防工业出版社,1981.

单边带测试音频信号发生器 篇9

使用电脑和声卡制作功能强大的频率合成器

一种常用的测试单边带发射机和线性放大器的方法是双音测试,即同时输入两个等幅低失真音频正弦波来测试或调节载波频率,使其和单边带滤波器通带频率一致,也可用于测试单边带滤波器通带。大多数火腿可能没有音频信号发生器和将两个信号无失真叠加的设备,因此一台包括这两个功能的信号发生器将会是一件方便实用的工具。

一种简便的实现方法

本文中介绍的文氏电桥振荡器可以产生低失真的300Hz,700Hz,1900Hz和2700Hz音频信号可以选择单独输出某个频率,也可以选择300Hz或700Hz和1900Hz或2700Hz组合输出。700Hz和1900Hz等幅频率组合由于没有相同的高次谐波频率,并且都在单边带滤波器的通带范围之内,因此常用于双音测试。如果发射机设置正确,这两个频率的信号可以以相同幅值并且没有明显失真地通过发射机调制电路。

由于300Hz和2700Hz这两个频率通常是单边带带通滤波器的上下截止频率,因此通常用来调节发射机载波信号振荡器频率。在进行测试时,用示波器观察发射机输出信号,调节发射机使输出信号如图1所示,此时在输出端两个频率信号幅值相等,失真最小。图2是调节不正确的发射机输出的信号波形。

图 1 调节正确的发射机进行双音测试时输出的单边带调制包络信号

少数电台单边带晶体滤波器通带范围不是300Hz到2700Hz,对于这些电台,测试频率需要相应做出调整,可通过调整文氏电桥振荡器两臂电容实现。文氏电桥振荡器频率与电桥两臂的电阻电容值之积成反比。

信号发生器电路

信号发生器包括两个独立的振荡器,一个线性加法器和一个低阻抗输出级,整个电路使用一片LM837N四运放和一个MPF102 JFET晶体管实现。高增益、低噪声的宽带运放在这里有些大材小用,但0.64美元的价格(Mouser提供的报价)确实很有吸引力。信号发生器使用文氏电桥振荡电路,经过调试可以提供低至0.1% 谐波失真的音频正弦信号。信号发生器电路框图如图3所示。

图 2 调节不正确的发射机进行双音测试时输出的单边带调制包络信号的一种情况

振荡器电路

电路中微型灯泡B1和B2用来保持振荡器输出信号幅值恒定。将回路反馈电阻R1和R2调节至合适的值之后,灯泡的电阻会随着流过的电流增大而增大,使输出电平降低,反之亦然。在±4.5V电源电压下,通过调节R1和R2可以使振荡器输出稳定保持在1VRMS。

运放加法器电路

运放加法器可以将两路振荡器信号相加得到所需输出信号,并且不引入交调失真。加法器通过深度负反馈将输出信号电平压缩到约100m V,使其工作在线性区,保证输出信号失真尽可能小,并通过输出端的可变电阻将最终输出信号电平限制在麦克风输入电平范围内。

图 5 开关 S1、S2 和与之相连的电容的安装方式。开关和印刷电路板之间只需要两根导线连接。

B1、B2:12V,25m A微型灯泡

BT1:9V碱性电池

C1、C2:100μF,15V电解电容

C3、C4:5520p F电容,使用4700p F和820p F NP0瓷片电容或聚丙烯电容并联

C5、C6:2350p F电容,使用2200p F和150p F NP0瓷片电容或聚丙烯电容并联

C7、C8:820pF NP0瓷片电容或聚丙烯电容

C9、C10:590p F电容,使用470p F和120p F NP0瓷片电容或聚丙烯电容并联

C11:10μF,35V钽电容

J1:RCA穿心型插座

Q1:MPF102场效应管

R1、R2:500Ω,10圈精密可变电阻

R3~R6:100kΩ,1/4W,1% 精度电阻

R7、R8:4.7kΩ,1/4W,1% 精度电阻

R9:1.5kΩ,1/4W,5% 精度电阻

R10:1kΩ,1/4W,5% 精度电阻

R11:680Ω,1/4W,5% 精度电阻

R12:500Ω可变电阻

R13,R14:100Ω,1/2W,5% 精度电阻

S1、S2:双刀双掷开关

S3~S5:单刀双掷开关

U1:LM837N四运放

印刷电路板

铝制外壳

源极跟随器输出级

使用集成的第四个运放作为输出级听起来很不错,但是在输出负载为抗性负载时会变得不稳定,因此电路中使用MPF102构成的源极跟随器电路作为输出级。在跟随器输出端和输出RCA插座之间连接有10μF钽电容用于防止信号发生器和待测设备直流电平不同带来的问题,电容容量大小根据输出信号源内阻、输出信号最低频率和负载阻抗确定,此处假设负载阻抗最小为600Ω,信号源内阻为50Ω。

多数发射机的高阻抗麦克风输入需要5 ~ 25m V输入电平,因此需要将信号源输出信号电平限制在此电平范围内。如果需要更高输出电平,可以将1500Ω反馈电阻换成阻值更大的电阻(减小负反馈程度),或将跟随器输入端的分压电阻换成较小阻值的电阻,或者用导线代替。

供电方案

如果你刚好有±5V双输出的电源适配器,那么正好可以为信号发生器供电。如果没有,也可以使用 +6V隔离电源适配器供电。信号发生器所需电流较小,大约70m A,因此变压器式电源适配器输出电压会比较高,大约为9V。输出电压通过输入分压器变换为±4.5V电压为其他部分供电。在制作第一版时我发现使用电源适配器不是很方便,因此改用9V电池为信号发生器供电。9V碱性电池通常容量为800m Ah,可以供信号发生器连续工作10个小时。通常信号发生器不会连续使用很长时间,因此一节电池在通常使用情况下可以供信号发生器使用几年。在不使用时可以关掉信号发生器电源开关,以节约电量。

输入分压器两个串联的100Ω1/2W电阻中点接地,将9V电压等分为正负电压,100μF电解电容为滤波电容,可以保持电源电压稳定。正负电压两端分别连接到U1的4和11引脚。MPF102只需要使用正电压,因此正负电压需要的电流会稍微不同,正电压所需电流稍大一些,不过影响不大。

由于以上电源连接方式,整个信号发生器处于浮地状态,因此需要将信号发生器和被测设备的接地端连接到一起以提供参考地平面。RCA插座屏蔽外壳和信号发生器接地端连接在一起,在连接至待测设备时可以将两者接地端连接在一起。将信号发生器外壳连接至接地端可以提供更好的屏蔽效果,但这不是必须的。

制作信号发生器

信号发生器元件安装在专用的印刷电路板上,可安装在5x3x2英寸的铝盒中。印刷电路板是免焊接设计,但为了可靠起见,建议将元件以焊接方式安装。电路板通过自攻螺钉固定在L形金属片上,金属片通过自攻螺钉固定在铝盒侧壁。金属片为从铝板上剪下的条形金属片,在台钳上固定弯折而成。

PCB上较长的两个边沿上各有两段连续的的长导线,四条长导线焊到一起作为公共接地导线。信号发生器的主要元件都安装在PCB上,为能够精确调节输出信号电平,振荡器反馈电阻R1和R2为多圈精密电位器,普通单圈电位器精度较低,不适合这类应用。

对于每个信号发生器输出频率,其文氏振荡器两臂电容容量需要经过配对以确保容量相同。两臂电容容量并不是常见数值,而是通过将常见容量电容并联得到的,见图4说明。电容容量会有一定误差,需要经过实际测量,选择容量尽可能接近标称值的电容。测量时可以使用其中一个振荡器作为临时测量电路,用频率计测量输出频率。如果没有频率计,可以直接选用高精度的电容。通常如果按照元件清单选用电容,输出频率误差会在5% 以内。出于长期稳定性考虑,建议使用NP0瓷片电容或聚丙烯电容。

文氏电桥振荡器两臂电容直接焊在双刀双掷开关接线端子两端,开关安装在前面板上。为方便焊接,先将电容和引出导线焊到开关上,然后将开关安装到前面板上。这样每个频率选择开关到PCB之间只需要连接两根导线即可。此外每个振荡器还需要引出一根接地导线用于连接振荡器使能开关。制作时需要为这六根导线预留出足够长都,以方便后续维护。图5为300Hz/700Hz选择开关连接方式,1900Hz/2700Hz选择开关连接方式与此相同。

穿心式RCA插座屏蔽外壳与机壳相连,接地端连接至线路板接地端,外壳其他部分不与线路板接地端连接,以防止形成接地环路。图6和图7为信号发生器外观和内部结构图。

校准和使用

首先将反馈可变电阻R1和R2调节至中点处,此时由于输出信号幅值过大,振荡器输出波形应近似为方波。调节反馈可变电阻R1和R2直到波形变为完美的正弦波,并继续调节至振荡器刚好停止工作。然后反方向调节至振荡器刚好开始工作,然后再将可变电阻向相同方向旋转一圈,此时振荡器可以可靠起振, 并保持稳定输出。

在刚通电时或频率改变时,振荡器输出信号幅度会上下波动,大约1秒后幅值稳定下来并保持稳定。在±4.5V电源电压情况下,振荡器输出脚信号电平峰峰值约为电源电压的30%,即3V,从而保证输出信号低失真。

在前面板输出电平控制旋钮调至最大时,单一频率输出电平约30m VRMS。要调节信号源输出电平,首先将频率输出调节为300Hz单一频率输出,使用示波器或交流电压表观察输出电压,然后调节反馈可变电阻;再将输出频率调节为2700Hz,调节反馈可变电阻,使两次输出电平相同,这样在其他频率上输出电平可以达到基本相同。

借助音频信号发生器,可以很方便的调整单边带发射机,并且在业余无线电、电子实验、维修等很多场合都能发挥作用。通过这个制作让周末时间变得充实起来吧!

图6 音频信号发生器前面板。中间的旋钮调节输出电平可变电阻 R12 阻值, 输出插座 J1 和电源开关 S5 在背面。

图7 信号发生器内部,印刷电路板通过 L 形金属片固定在外壳上

音频信号发生器的使用

如果想要测试发射机失真,除音频信号发生器外,还需用到示波器和定向耦合器。设备之间连接如图A所示,设备之间用屏蔽音频线或同轴线连接。

将测试音频发生器输出端连接至发射机或电台麦克风输入端,调节电台麦克风增益旋钮,将输出功率调节至合适位置。对于通常的双音测试(详情请见ARRL手册)需要将发射频率调至最大以观察大功率发射情况下输出波形失真情况。如果需要调节载波频率,发射功率不需要设置很大,大约设置到50% 或更小一些即可。

发射机输出信号通过定向耦合器耦合至示波器垂直输入端口。如果没有定向耦合器,可以将带采样输出的功率计或驻波表的反向电压输出接口引出信号至示波器。如果这些都没有,可以用两个简单的电容组成分压器进行信号采样,电路图如图A所示,电容分压器通过T形同轴接头连接在发射机和天线或假负载之间。电容容量要求不是很严格,但需要能够承受发射机以100W功率输出时的电压,约100V,分压器接地端连接至同轴线屏蔽层。按图中元件参数制作的分压器在100W输出功率时电压峰峰值约为2V。

图 A 单边带发射机测试接线示意图。 各设备之间使用屏蔽线或同轴线连接

低频函数信号发生器的设计 篇10

关键词:信号发生器,AT89C51,ICL8038

函数信号发生器是科研及工程实践中最重要的仪器之一, 在电子学的各个领域, 常常需要使用高精度且频率可方便调节的信号发生器, 要求其能够产生多种波形, 如三角波、矩形波、正弦波。本项目是利用压控振荡器技术来设计低成本信号源。

1 系统设计

低频函数信号发生器采用压控振荡电路产生正弦波、三角波、方波信号, 并采用单片机控制其信号的频率、相位与幅值, 系统结构如图1所示。系统中采用AT89C51单片机进行显示、输入以及控制, 通过DAC控制压控振荡器ICL8038的输出频率, 输出电压幅值变化通过DAC控制程控放大器AD603实现, 受篇幅限制, 单片机控制的显示、按键以及DAC等通用单元在本文中不再赘述, 仅对信号产生电路以及输出幅值控制电路进行详细阐述。

2 压控振荡器的设计

波形发生电路要求输出0~10V频率为10Hz~500KHz的正弦波、方波以及三角波, 并且可以实现占空比调整。波形的产生本次设计采用集成的压控波形发生电路ICL8038进行设计, 通过改变ICL8038 8脚上的电压即可改变频率, 这个电压可以通过C8051f410单片机的DAC来实现。通过调整W500电阻改变4、5脚上的电压就可以实现占空比调节。电路如下图所示。

ICL8038是通过内部的两个恒流源对电容充放电来实现波形振荡, 在同一个电容上振荡的频率比通常在10~100之间。当大于100时ICL8038振荡器有可能停振, 因此频率输出范围是10Hz~500KHz, 这里的频率比达到了50000。为了得到较好的波形, 提高可靠性, 我们采用CD4051模拟开关对ICL8038的充放电电容C进行切换, 切换由AT89C51单片机控制, 电路图如下。

3 输出放大器的设计

通用信号源输出的波形的幅值应在一定范围内波动以适应不同的实验, 而ICL8038能输出正弦波、三角波以及方波, 波形的峰—峰值应固定, 因此在ICL8038输出后采用程控增益放大器AD603控制幅值。AD603的程控增益变化范围可达-10d B~20d B, 电压增益变化范围达1000倍, 因此可实现输电电压的大范围小步进精密调整。AD811是输出级驱动电路, R11的51欧姆电阻串联在输出电路中, 保障输出端电路短路时, 输出运放不会被烧毁, 起到保护作用。电路如图4所示。

4 结论

经过一段时间的测试运行, 证明此信号发生器工作稳定可靠, 能产生1Hz~~5MHz的正弦波、方波、三角波。利用单片机对ICL8038的实时程序控制产生了高频高精度的输出波形, 系统运行稳定, 具有幅度调节、键盘设置、频率显示等功能, 可广泛应用于教学实验与科研调试中。

参考文献

[1]陈大钦.模拟电子技术基础[M].北京:高等教育出版社, 1999, 7.

上一篇:肉鸡腺胃炎下一篇:视频序列图像