载波同步

2024-05-19

载波同步(精选七篇)

载波同步 篇1

在某通用中频数字接收机中,系统要求载波同步模块能够同时适应MPSK(多进制相移键控)、MQAM(多进制正交幅度调制)等多种调制信号。经过理论研究与硬件实践验证,通用载波同步环采用通用鉴相算法,通过环路滤波与相位解旋,能够正确的纠正载波相差及少量的频差,从而实现正确的解调。

1 通用解调器

中频数字接收机的总体结构如图1所示。

采用正交通用解调结构,主要由高速采样、数字正交下变频、变速率抽取、可编程匹配滤波、定时误差估计、载波误差估计、译码模块组成。

本文主要讨论载波误差估计模块,由于本文涉及的通用接收机中采用的载波是单一频率,即载波的中心频率固定已知,只需消除信号在传输、接收等过程中产生的少量频偏,纠正由于传播延时、信道的时变特性等引起的相位偏差下面将以此为落脚点详细阐述通用载波同步环路的设计与硬件实现。

2 环路设计

通用载波同步环路的硬件设计与实现框图如图2所示(为了便于验证环路功能,添加了数字下变频和LPF(低通滤波器)模块),主要由相位解旋器、鉴相器、环路滤波器以及NCO(数字振荡器)组成[1]。

2.1 NCO及相位解旋器

NCO是利用相位累加器得出的相位偏差结果φ′,结合中心频率f,通过DDS(直接数字频率合成器)获得其sin(2πft+φ′)、cos(2πft+φ′)的值,再送入数字下变频模块中与接收到的信号相乘,纠正相位偏差,从而获得正确的两路基带信号I、Q。

假设f′为经过信道衰落等环节,包含有少量频偏的载波频率,其数值与本地载波中心频率f十分接近,φ为载波与本地振荡因为非同源而存在的相差,在载波同步环路中,目的是捕获2π(f′-f)t+φ,并且不断跟踪其变化,使φ″=φ′-[2π(f′-f)t+φ]趋于0。这里,把微量频偏当成一个随着时间成线性关系变化的相偏来纠正当锁相环达到稳定时即

从理论上分析可以得出结论,通用载波同步环能完全纠正载波的相位和频率偏差。当然,在硬件实现中,由于环路存在二三十个时钟周期的延时,锁相环路只能跟踪由(f′-f)这一微小频偏所造成的随时间线性变化的相位偏差,并不能完全消除它,但是,它的影响已非常微小,不会影响后面模块的判决。

2.2 鉴相算法模块

通用载波恢复环的鉴相特性函数[2]如下:

式中:I、Q为I、Q的预判决值。

对于MPSK,是依据CORDIC(坐标旋转计算机)获得的相位角来进行判决,即以两个相邻星座的中间分界限为预测判决界限,比如对于QPSK(二进制相移键控),其标准星座位于4个坐标轴上,判决规则如下:

对于MQAM,则是依据幅度进行判决,它的流程不需要图2中的CORDIC求相角模块,而是直接用幅度判决,比如对于16QAM,其判决规则为:

以及

同时,在图2中添加了FIFO,其目的就是使I、Q两路数据产生一定延时(在系统实现中测定为14个时钟周期),从而保证在进入鉴相器时与其估计值I、Q保持严格同步。

鉴相器在硬件上实现十分简单,仅需要与非门、异或门、加法器和多电平限幅器。多电平限幅器有一个调制样式选择,针对BPSK、QPSK、8PSK、16QAM等调制样式选择不同的电平限幅器。

对于BPSK信号,只需要正交支路就可以实现鉴相,其鉴相函数为:

相应的FPGA(现场可编程门阵列)实现结构如图3所示。

2.3 环路滤波器

采用的环路滤波器[3]为二阶模型(实现结构见图2),其时域表达式为:

y(n)=y(n-1)+G1[x(n)-x(n-1)]+G2x(n)式中:G1=2ωnε;G2=ωn2/f;ωn为环路带宽;ε为阻尼系数(理论证明过当其介于0.5与0.707之间时,环路具有比较好的幅频特性,在实践中采用0.707,能够完全满足系统要求);f为符号速率。

2.4 硬件平台

该全数字通用接收机载波相位同步环路系统硬件平台为Xilinx公司XC 4VLX 40芯片。通过了ModelSim、Chipscope仿真验证和硬件测试。使用了芯片中24%的Slice、22%的LUT,75%的FIFO 16/RAMB 16s、28%的BUFG。

3 结果与分析

仿真过程中,采用40MHz时钟,利用AD 9248对外接信号源送出的调制数据(载波频率10MHz)进行采样输入,其中符号率为2.5MHz,通过LPF后进行四倍抽取以10MHz的更新率进入载波相位估计模块,环路滤波器参数ωn=2-10。

通过Chipscope观察到的相位曲线及I、Q两路的星座图见图图

在Chipscope的仿真过程中,预设了信号源载波频率与本地振荡存在频偏使图中相位跟踪曲线是一个随时间线性变化的结果,使用12位总线表示无符号相位φ,对应为弧度计算式即2π[φ/(212-1)],当旋转角度达到2π时会自动翻转为0,从图中可看出,每2 000个采样点(采样时钟为10MHz)翻转一个2π,与理论上的Δφ=2πΔft相符。

同时,观察星座图可看出与理论情况吻合,说明已实现了正确的载波同步。当然,由于所设计的系统模块中没有添加定时恢复模块,在四倍抽取过程中定时不是特别理想,使星座图存在一定的扩散,尽管如此,还是验证了通用接收机载波相位同步环路的功能。

4 结束语

本文介绍一种适应于MPSK与MQAM等调制信号的通用载波同步环路,由硬件实现了功能验证。通用载波同步环路与传统的载波恢复方法相比具有算法简单运算量小硬件实现简易环路延时小等优点

参考文献

[1]杨浩,林争辉,蔡雄飞.全数字正交相移键控解调器设计[J].上海交通大学学报,2005,39(9):1538-1543.

[2]张建志,崔霞霞.一种适用于QAM和PSK信号的载波恢复算法[J].计算机与网络,2005(20):57-59.

[3]邓洋,赵民建,王匡,等.QAM全数字接收机载波相位恢复环路[J].电路与系统学报,2002,7(2):105-109.

[4]LECLERTA,VANDAMME P.Universal carrier recovery loopfor QASK and PSK signal sets[J].IEEE Transactions onCommunications,1983,31(1):139-136.

一种LDPC码载波同步方法研究 篇2

关键词:低密度奇偶校验码,Cordic算法,置信传播译码算法,载波同步

0引言

在接收信号中, 当采用相干检测或同步解调时, 接收机需要提供一个发射端调制载波同频同相的相干载波。如果接收信号和相干载波存在频率偏差, 会使接收信号产生相位偏移和幅度衰减。由于各个符号的抽样时刻不一致, 一帧数据内各个符号的相位偏移不一致, 利用LDPC码循环迭代的译码特性, 通过若干次迭代译码来修正各个符号的相位偏移。在帧同步前提下, 随机地抽取两端长度一致, 间隔较大的2个码组, 进行相关运算, 得到2码组中对应码元的相位偏移, 计算频偏。

1算法及频偏修正

1.1Cordic算法

Cordic算法利用坐标旋转来计算超越函数, 是一种以最小硬件代价来计算初等函数的方法, 执行的是一种旋转算法。Cordic有3种迭代形式:圆周迭代、双曲迭代和线性迭代, 每种迭代形式有2种模式:旋转模式和向量模式, 其中线性迭代使用较少。将向量 (X, Y) 旋转到 (X′, Y′) , 旋转角度为θ, 则2个向量之间存在的关系为:

令每次旋转的角度为arctan-1 (2-i) , i=0, 1, 2, …, 有tanθ=2-i, 则操作就简化为移位运算。对于给出的XY适当的初值, 并设定好旋转形式, 该算法就可以利用简单的加减法和移位进行超越函数运算。

如果限制tanθn=±2-n, 则可以将tanθn乘项的乘法操作变为移位操作, 式 (1) 将只有一个乘积项cosθn, 且θn的值计算如下:

θn=snarctan2-n, sn={-1, +1}。 (2)

当尚未旋转的角度大于等于0时, sn取+1, 否则取-1。所有的叠加角度加在一起就是需要的旋转角度θ。利用Cordic算法来实现载波同步锁相环的具体过程如图1所示。

1.2频偏修正

频偏估计和频偏修正都用到Cordic算法, 频偏估计和频偏修正的具体实现过程如图2所示。设发送信号为sn, 通频带信号为xn的复基带信号为xn=snej2πftxnT, 其中ftx为发送载波频率, T为码元周期。在接收机用本振频率为frx的信号进行相关接收, 接收的复基带信号rn为:

rn=ynej2πftxnT·e-j2πfrxnT=ynej2πfΔnT。 (3)

式中, yn为受噪声干扰的信号;fΔ为发送和接收载波的频差。

如果截取两端长度都为L, 间隔为D的码组, 进行相关运算, 则延迟相关和z为:

z=n=0L-1rnrn+D*=e-j2πfΔDΤn=0L-1ynyn+D。 (4)

用Cordic算法求得延迟相关和z的角度θθ=2πfΔDT, 所以频偏fΔ为:

fΔ=θ2πDΤ。 (5)

然后根据频偏fΔ确定各个符号的相偏, 并且再次用Cordic算法修正各个符号的相位。

2置信传播译码

LDPC作为一种近年来被人们广泛关注的高性能线性分组码, 是具有非常稀疏的校验矩阵的线性分组码, 其译码复杂度低, 并且并行编译码算法有利于硬件实现。LDPC码的译码算法主要有和积 (Sum-Product) 算法, 最小和算法以及对数似然比算法, 在这几种译码算法中, 积译码算法为迭代译码, 且算法完全是并行的, 译码速度较高, 译码算法的复杂度较低, 其运算量不会随着码长的增加而急剧增加。

可以简单理解这个算法。首先, 校验节点传递给变量节点的值是与此校验节点相连的其他变量节点的模2和, 也就是在其他的变量节点的传入值的条件下, 使得校验方程满足的此变量节点应该取得的值。一般而言, 该变量节点传递给另外一个校验节点的值是它的接收值;但是如果其他所有校验节点传递给此变量节点的值都一样但是和接收值不一样, 那么还沿用接收值很可能会造成错误, 因此该变量节点传递给另外一个校验节点的值就修正为其他校验节点的传入值。下面给出具体译码过程。

2.1初始化过程

将初始消息fja=P (xj=a|yj) =αiP (yj|xj=a) 送入Qaij, 将Rija初始值设置为1。在初始化消息以前, 对接收信号先进行相位修正, 以弥补相位偏差造成的译码错误概率。首先在帧同步的前提下, 在一帧数据内选取2个长度相同的码组, 并且间隔较大, 然后Crodic算法求出2个码组对应码元的相偏, 确定频率偏移, 最后再次利用Cordic算法修正各个符号由于频率偏移造成的相偏。

2.2迭代更新信息

Rija是校验节点zi向变量节点xj发送的校验消息, 其在迭代译码中的更新等式为:

Rija=Ρ (zi|xj=a) =x:xj=aΡ (zi|x) Ρ (x|xj=a) =x:xj=aΡ (zi|x) kΝ (i) jQikxk (6)

N (i) j表示与校验节点zi相邻的变量节点集合, 不包括变量节点xjQija是变量节点xj向校验节点zi发送的变量消息, 其在迭代译码中的更新等式为:

Qija=Ρ (xj=a|yj, {zk, ki, kΜ (j) }) =αijfjakΜ (j) iRkja (7)

同理, M (j) i表示与变量节点xj相邻的校验节点集合, 不包括校验节点zi, αij是归一化因子。

2.3译码尝试

在步骤二完成QijaRija的更新计算后, 可以对码字x= (x1, x2, …, xN) 进行译码尝试, 每个码字比特译码值为:

x^j=argmaxa{+1, -1}fjakΜ (j) Rkja。 (8)

如果其他校验节点传递给这个变量节点的值都是一样但是和接收值不一样, 那么将这个值传递给此校验节点;否则将接收值传递给校验节点。如果满足ΗΜ×Νx^=0, 译码成功, 否则回到步骤二进行新一轮的信息更新计算。重复上个步骤, 直到所有的校验方程都满足, 或者直到达到最大迭代次数。

2.4硬判决与终止迭代

对所有的变量节点, 计算Qjl:

Qjl= (1-2yi) +kΜ (j) (1-2Rkjl) 。 (9)

如果Qjl>0, 则判C^j为0;否则, 判决为1。将判决得到的码字带入式 (8) 进行检验, 如果满足就停止迭代, 否则, 返回第2个步骤直到达到事先设定的最大迭代次数。

3仿真结果分析

为了验证译码算法性能和频偏修正算法的性能, 从2个方面进行仿真讨论。仿真采用的信道是二进制输入的加性高斯白噪声, 调制方式是基带的BPSK调制, 对码率为1/2、码长为1 024的非规则LDPC码进行计算机数值仿真。考虑到实验的可行性, 仿真中所用到的校验矩阵里不保证没有短长度的圈, 且和积译码算法的最多迭代次数为100次。

3.1译码算法性能仿真分析

随机抽取两样值码组的长度为100, 间隔为200, 每码元周期的相位偏移为0.00 6rad, 则频偏修正的LDPC码译码算法仿真, 精确同步的LDPC译码算法仿真和频偏未修正的LDPC码译码算法仿真如图3所示。

由图3可以看出:

① 频率偏移使得译码器译码失败, 原因是随着抽样时间的推移, 码元的相位偏移量不断增加, 当相位偏移量大于 (2k-1) π小于2kπ时, 码元硬判决失败, 其中k为整数;

② 用Cordic算法进行频偏估计和频偏修正的译码算法性能接近于精确同步下的性能。

3.2不同频偏量译码算法仿真

随机抽取两样值码组的长度为100, 间隔为200, 对不同相位偏移的码元进行仿真, 其频偏修正算法的仿真如图4所示。由图4可以看出, 0.01/T频偏量曲线性能好于0.005/T频偏量曲线, 其中T为码元周期。原因是第1次用Cordic算法求两码组的对应码元的相偏时, 频偏为0.01/T的对应相偏较大, 在采用同一精度的Cordic算法下, 相对误差较小;第2次采用Cordic算法修正各个符号的相偏时, 频偏量大的一组码字对应码元的相偏较大, 都采用同一算法的前提下, 绝对误差一致, 相对误差较小。

4结束语

当接收机的本振信号的频率与发送信号的载波频率不相等时, 会产生频偏, 致使接受信号的幅度衰减和相位偏移, 译码失败。利用Cordic算法通过迭代移位和相加等操作精确逼近真实角度的特点, 通过间隔较大的码组进行相关运算, 产生一个由频率和码组间隔决定的角度, 然后再用Cordic算法求解此角度, 确定频偏, 最后再用Cordic算法修正各个符号由频偏引起的相偏。利用置信译码算法, 进行译码过程的设计, 通过仿真分析, 所采用的方法能够实现准确的LDPC码译码, 并且由于只需简单移位和加法即可完成, 所以便于硬件实现。

参考文献

[1]CHUNGS Y, PORNEY G D, RICHARDSOD T J, et al.On theDesign of Low-density Parity-check Codes Within 0.0045 dB ofthe Shannon Limit[J].IEEE Communication Letter, 2001, 5 (2) :58-60.

[2]岳田, 裴保臣.LDPC码的几种译码算法比较[J].无线电通信技术, 2006, 32 (4) :31-33.

[3]李志勇, 李文铎.一种高速LDPC编译码器的设计与实现[J].无线电通信技术, 2009, 39 (7) :41-43.

海事卫星P信道的载波同步算法研究 篇3

由于存在多普勒频移和本地载波误差, 接收机收到的信号会产生频率偏移, 使系统性能下降, 因此必须消除频偏误差。文中采用非数据辅助前馈式载波同步算法, 其优点是: (1) 待估的频偏范围大, 可以达到±1/2∆T, ∆T是接收信号下变频后输出数字信号的抽样周期; (2) 不需要已知发送信号的定时信息和数据信息; (3) 载波频率同步时间快。

1 载频同步算法原理

非数据辅助前馈算法是通过与自身信号延迟相乘来实现的, 如图1所示。估计的数学公式[2]是:

其中, ∆T是设计参数。

假设接收的解调信号具有频率偏移, 输入信号s (t) 经低通滤波后, z (t) 的表达式是:

其中∆w是载频偏移, ∆θ是载波相位偏移, ai是发送的数据符号, τ是定时误差, n (t) 是滤波器带宽BLPF内的噪声, 功率谱密度是N0/2。

把式 (2) 带入式 (1) 求数学期望得出:

R (α) 是噪声n (t) 的自相关函数。

由于B (t) 是T的周期函数, 所以y (t) 的表达式看成是周期的E{y (t) }和零均值的随机过程N (t) 。

对 (4) 求积分得出:

假设Y非常小的前提下, 频偏估值是:

2 载频同步算法数字实现

为了非数据辅助前馈算法的数字实现, 需要数字下变频后输出的数字信号抽样速率是4/T, 得出:

其中l0=T0/T。

式 (8) 代入式 (7) 得出:

当∆T=T/4时, 估计出频偏需要4l0个样本。项目中, P信道速率是600bps, ∆T=T/4, T=1/600秒。预估的频偏范围可以达到±1/2∆T, 也就是±1200Hz。

图2就是非数据辅助前馈算法的实现框图。z (k) 代表z (kT/4) , z-1代表了T/4的延迟。

模拟变频后70M的中频信号R (t) , 经过数字下变频抽样滤波, 抽样速率降为2400, 相当于每个码元采样四次。抽样率为2400的数字信号延迟一个时间间隔

1/2400秒, 然后转换为共轭与自身信号相乘, 不断的累加, 经过一段时间估计出载频偏差。通过估出的载频偏差驱动直接数字频率合成器DDS产生频偏大小的信号, 与输入的数字信号相乘后, 消除载波频移, 为后续的信号正确处理提供条件。

3 载频同步算法性能仿真

本文通过Advanced Design System (ADS) 构建了非数据辅助前馈算法模型。图3和图4给出载波恢复环路性能的仿真结果。

图3所示载频频偏估计值, 图4所示校频后的频率值。频偏是637Hz, 由图可见非数据辅助的前馈算法估频准确、快速, 大约1000个样本后, 估出的频率偏移稳定, 每个样本的时间是1/2400秒, 所以需要0.42秒实现载波同步。

4 结论

本文主要讨论了海事卫星P信道的载波同步算法, 仿真结果表明该算法可以满足信道要求, 所提供的非数据辅助前馈算法的数字实现结构具有很大的参考价值。本文的同步算法已经应用在海事卫星P信道接收机中。

摘要:针对600bit/s的P信道, 介绍了一种非数据辅助前馈算法的载波同步技术, 以及它的数字实现方法, 使用ADS软件对其实现原理和相关算法进行了仿真。

关键词:LTE,专有承载,一致性测试,服务质量

参考文献

[1] INMARSAT, Inmarsat Aeronautical System Description, Version 1.39[S], 1994

载波同步 篇4

QPSK即正交相移键控系统,具有抗干扰性强,频带利用率高,误码率低等特点,广泛用于数字通信、数字视频广播、卫星通信中[1]。QPSK载波同步有两种方法: 一种是导频法,在发送信号的同时加入一段载波导频信号,在接收端通过滤波器后检测到导频信号来实现载波同步;另一种是直接法,即直接从接收信号中通过使用锁相环来提取载波[2]。本文采用直接法通过松尾环实现QPSK信号的载波同步[3]。并通过对比不同信噪比下同步情况来分析锁相环的抗噪声性能。

1 锁相环分析

1.1 松尾环组成及原理

采用四相松尾环的QPSK信号载波同步算法原理如图1所示[4,5],虚线框内部分是四相松尾环的基带处理部分。QPSK调制信号s(t)经过正交解调后,输出I,Q两路基带信号分别为yi(t)与yq(t),然后将它们送入基带处理部分进行处理。经过基带处理输出一个和调制码元无关的控制信号ε(t),这个控制信号通过环路滤波器进一步滤除干扰后,去控制压控振荡器NCO输出信号的相位,达到对QPSK信号载波同步的目的。

下面分析四相松尾环的鉴相特性。假设环路已经锁定,在不考虑噪声的影响时,中频QPSK信号s(t)可表示为

式中:si(t)与sq(t)分别是调制端I,Q两路基带码元信号,sinωc(t)与cosωc(t)是调制端NCO产生频率为ωc的正余弦载波信号。

接收端NCO产生的正、余弦信号分别为cos(ωc(t)+φo(t)),sin(ωc(t)+φo(t)),

φo(t)为NCO输出参考载波相位。如图1所示,相乘后的同相分量与正交分量为xi(t)与xq(t),经过低通滤波后,两路基带信号可表示为

yq(t)=12cosφe(t)式(2)与(3)中:φe(t)=φo(t)-φi(t),φi(t)为输入信号载波相位。

加法器的输出为

减法器的输出为

其中令1+tanφe(t)1-tanφe(t)=tanφe(t)+45°。

判决器的数学表达式可用符号函数表示为

sgn(x)={+1,x0-1,x<0(6)

规定模2加的运算规则为

sgn(x)sgn(y)=sgn(xy)={+1,xy-1,xy(7)

这样,由图1可以求出环路滤波器输入的控制电压为

在以上推导过程中认为si(t),sq(t)为矩形脉冲且幅度为±1,故si2(t)=sq2(t)=1。从式(8)可以看出,经过四相松尾环的基带处理后,在控制电压ε(t)中,数字调制信息si(t)和sq(t)已经被消除,只包含压控振荡器输出的参考载波相位与输入信号的载波相位差φe(t)。由推导公式(8)可知在0~2π之间,有0,π/2,π和3π/2 4个稳定点,鉴相特性为矩形,即环路锁定时误差信号φe应为0,π/2,π或3π/2。脉冲序列的宽度由输入信号s(t)的频率与NCO中心频率的差值决定。只有两者相同时,ε(t)的输出为正负脉冲相同的方波。误差信号ε(t)经环路滤波器后得到一个恒定数字来控制NCO的中心频率。当NCO的频率低于s(t)载波频率时,ε(t)输出正脉冲大于负脉冲,NCO的控制字就会增加,反之就会降低。这样经过反复的调整最终就会实现锁相环跟踪载波的频率。

1.2 环路滤波器的设计

环路滤波器主要用于滤除鉴相器输出误差信号中的高频分量,起到平滑滤波作用,因此环路滤波器在环路稳定、改善噪声性能和捕获跟踪中发挥着重要作用[6]。本设计中采用二阶环路滤波器,这里采用有源比例积分滤波器来分析。

有源比例积分滤波器复频域表达式为

F(s)=1+sτ1sτ2(9)

式(7)中:τ1,τ2为环路滤波器的时间常数。

系统传递函数Η(s)=φo(s)φi(s)=kF(s)s+kF(s)(10)

误差传递函数E(s)=φe(s)φi(s)=ss+kF(s)(11)

式(10)与(11)中,定义k=kokd为环路增益,ko为压控振荡器增益,kd为鉴相器增益。定义ωn和ζ如下

式中:ωn表示锁相环的固有角频率;ζ表示锁相环的阻尼系数,ωn其实是φo(s)输出的暂态响应,表现形式为阻尼振荡,ωn为阻尼振荡的角频率。

主要使用系统函数的频率特性来分析环路频率跟踪性能,MATLAB仿真了在不同ζ下的H(s)和E(s)的频率特性如图2与图3所示。

在工程上一般取ζ=0.707为最佳[7]。二阶环的噪声带宽为

Bn=18ωn(4ζ+1ζ)(13)

Bn在噪声分析与松尾环实现时会用到。

下面分析二阶环对于两种输入相位的稳态相差,如表1所示。

由表1可知,二阶环能很好地跟踪相位阶跃和频率阶跃信号,同时二阶环在环路复杂性和稳定性方面也比较理想,所以二阶环是目前通信接收机内最常用的跟踪环路。

式(7)可变换为F(S)=τ1τ2+1sτ2用冲激响应不变法得F(z)=2ζωnΤk+(ωnΤ)2k(1-z-1),令C1=2ζωnΤk,C2=(ωnΤ)2k,其中T为采样序列的采样间隔,则可以得到环路滤波器的System Generator实现结构如图4所示。

1.3 锁相环噪声分析

锁相环要跟踪的物理量是输入信号的相位,所以对其进行噪声分析就是对输出的相位噪声进行分析。为了分析方便假设输入信号为S(t)=Asin(ωct+φe(t))+n(t),其中高斯白噪声n(t)~N(0,σ2),随机相差φn的方差σφn2¯=σ2A2。压控振荡器输入是相差信号φe(t)和n(t),由文献[8]得

φe(s)=ss+kF(s)φi(s)-kF(s)s+kF(s)n(s)=E(s)φi(t)-Η(s)n(s)(14)

由式(14)可知输出相位的相位误差由两部分组成:一部分是系统稳态相差,另一部分是由输入的加性噪声导致的随机相差。系统稳态相差总是存在,即使输入信号是非常干净的正弦波依然还会有稳态相差。随机相差由噪声引起,随机相差的均方值为

φn2¯=ΝoBnΡi(15)

式中:Non(t)的功率谱密度;Pi是输入信号的功率;Bn为噪声带宽。可得系统稳态相差和误差传递函数E(s)正相关,而加性噪声导致的随机相差和闭环系统传递函数H(s)正相关。于是减小环路带宽Bn,能减少随机相差但会增大稳态相差;增大环路带宽Bn能减小稳态相差,却使随机相差恶化。即随机相差和稳态相差的要求相互矛盾,往往设计时需要折中考虑。

2 松尾环System Generator实现

本文采用的传输比特率为1 Mbit/s,中频载波频率为10 MHz,采样率为40 MHz。QPSK载波恢复环路的System Generator实现如图5所示。

由图5可以看出Received为接收的QPSK调制信号。Mult与Mult1对应于图1的乘法器,CIC1、CIC2与RRC1、RRC2对应于图1的低通滤波器,Threshold至Threshold3对应于图1的判决器,Add与Sub分别对应于图1的加法器与减法器。Mult2至Mult3对应于图1的模2判决器,Loop Filter为环路滤波器,NCO为压控振荡器。

一般环路噪声带宽Bn取值为信息速率的1/20~1/10,本文取1/20,即Bn=120×106Ηz=50kHz,取ζ=0.707,由公式(11)得ωnBn0.5394kHz,NCO的控制灵敏度为ko=2πfs2nΤ,kd为鉴相器的增益,一般取1,k=kokd为环路增益,fs为NCO的采样率,N为NCO相位累加器的位数取8。则有

ko=2πfs2nΤ2×3.14×40×10628×11×1060.98(16)

C1=2ζωnΤk=2×0.707×94×1031×0.98×11×1060.14(17)

C2=(wnΤ)2k=(94×103×11×106)21×0.980.009(18)

式(17)、(18)[9]中,C1与C2即图4中的C1与C2的值,由于环路为非线性,所得的值与实际有一些出入,另外在高档FPGA中虽然有硬件乘法器但是数量有限,所以在设计中采用数据右移方式实现了数据的相乘。如图4所示,本文采用了C1右移3位、C2右移7位的方式实现了数据的相乘以节约FPGA的硬件乘法单元。

用System Generator对锁相环参数相同,调制信号相同,相同频偏为500 Hz的频率阶跃信号,叠加三种不同信噪比的高斯白噪声进行仿真。结果如图6所示。

图6a~c分别是在信噪比为6 dB,18 dB和30 dB情况下的环路锁定情况。结果显示采用此方法的锁相环路能锁定信噪比为6 dB的载波信号,随着信噪比的增加环路锁定时间逐渐减少和环路稳定性逐渐增加[10,11]。

由式(15)可知,在噪声比较大的情况下为了改善环路的性能可以通过减小Bn(减小C1与C2)来实现。图7所示它与图6是在调制信号相同,环路信噪比为18 dB,相同频偏为500 Hz,C1与C2减小情况下的环路锁定,可以看出稳定性能有所增加,但是随着C1与C2减小环路锁定时间变长。所以在实际运用中还需要根据实际情况对环路参数进行微调,需要折中考虑来满足环路稳定性与锁定时间的要求。

3 结论

Xilinx与MATLAB联合开发工具System Generator开发FPGA,具有无须为仿真和实现建立不同模型、图形化操作、简单易用的特点,已经成为未来开发FPGA的发展趋势。本文重点分析了松尾环的算法,环路滤波器的设计与噪声对环路的影响及实际运用当中应采取兼顾全局的设计方法。结果证明锁相环路能够很好地恢复调制载波,同时利用四相松尾环的基带处理方式也可以构成8PSK的解调环[12],由于松尾环的基带处理方式能够完全消除已调信号中的调制信息,所以由它构成的解调环在相位锁定点处将不引入调制噪声和码型噪声。

摘要:研究了基于工程应用的四相松尾环实现QPSK载波恢复算法、并分析了噪声对该算法的影响及该算法在FPGA上的实现。通过对锁相环分析,详细介绍了松尾环和环路滤波器的工作原理,并分别给出了System Generator的实现方法。实验结果表明使用松尾环能够在小信噪比情况下很好的实现载波同步,并且该种算法还能很容易的推广到MPSK载波恢复环路中。

关键词:正交相移键控,环路滤波器,载波同步,System Generator,FPGA

参考文献

[1]冯丹,王祖林.一种基于FPGA实现的QPSK载波跟踪算法[J].遥测遥控,2008,29(6):20-36.

[2]SANGRIOTIS M,XEZONAKIS I.Digital costas loop-link PLL for thecarrier recovery of a QPSK signal[J].Electronics Letters,1993,29(10):897-899.

[3]DICK C,HARRIS F,RICE M.Synchronization in software radios-carri-er and timing recovery using FPGAs[EB/OL].[2011-11-03].http://www-inst.eecs.berkeley.edu/~n225c/sp08/synch_paper.pdf.

[4]吴大维.一种QPSK解调器(松尾环)的研制[J].电讯技术,1990,30(4):26-30.

[5]RODRIGUEZ A S,MENSINGER M C,AHN I S,et al.Model-basedsoftware-defined radio(sdr)design using FPGA[C]//Proc.IEEE In-ternational Conference on Electro/Information Technology(EIT).[S.l.]:IEEE Press,2011:1-6.

[6]GARDNER F M.Phaselock techniques[M].[S.l.]:John Wiley&Sons Inc,2007.

[7]郑继禹,林基明.同步理论与技术[M].北京:电子工业出版社,2003.

[8]鲁郁.GPS全球定位接收机:原理与软件实现[M].北京:电子工业出版社,2009.

[9]杨德勇,许林峰,樊丰,等.数字锁相环的改进及应用[J].电视技术,2009,33(S1):88-89.

[10]VASUDEVAN K.Synchronization of bursty offset QPSK signals in thepresence of frequency offset and noise[C]//Proc.IEEE Region 10Conference on TENCON 2008.[S.l.]:IEEE Press,2008:1-6.

[11]GUNTHER J,MOON T.Burst mode synchronization of QPSK onAWGN channels using kurtosis[J].IEEE Trans.Communications,2009,57(8):2453-2462.

载波同步 篇5

载波恢复是数字通信调制解调器中一个关键组成部分, 特别是在有大范围载波频率偏差的数据传输系统中, 更需要有频率跟踪控制环路辅助载波相位跟踪环路。首先提出一种用于MPSK信号的适应大频偏的快速傅里叶变换 (FFT) 载波频偏估计器结构, 无需辅助数据 (NDA) , 能直接检测得到载波频偏绝对值大小。同时给出一种适合MPSK信号的通用锁相环结构。具体介绍FFT载波频偏估计器与通用锁相环在FPGA中的实现过程, 并给出硬件实现结果和具体性能。

1载波同步原理

MPSK (多相移键控) 调制信号在无线通信系统中有着广泛的应用, 因此研究其载波恢复方法具有普遍的意义。在工程应用中常首先用最大似然频率估计法将绝对频率缩小到一定范围后采用锁相环进行精确载波同步, 本文也采用同样的思路。

在信噪比足够高时最大似然频率估计是无偏的, 同时也有非线性估计器所共有的门限效应:即在信噪比低于某个门限时, 均方估计误差上升很快。而在门限以上, 均方估计误差可以达到Cramer-Rao限[1]。最大似然估计的均方误差除了在极靠近边带的地方之外, 均与实际频率无关。FFT算法可以看成是最大似然估计的逼近。

假设位定时已知, 用于计算的样点从匹配滤波器之后提取, 每符号提取一个最佳采样点;匹配滤波器冲激响应满足奈奎斯特无码间串扰采样定理[2]。匹配滤波后的输入信号可以表示为:

undefined。 (1)

式中, P为信号功率;dn为调制数据, Δf为频偏绝对值;Ts为符号周期;φ0为载波初始相位;zn为高斯噪声。

提出的MPSK信号载波同步整体结构如图1所示。

图1中, MPSK载波同步结构包括数字下变频、FFT频率估计模块、通用锁相环相位跟踪模块和NCO控制模块等。

FFT频率估计部分首先要将已调制信号叠加在未调载波上的调制相位去除, 因此, 第1步就要去调制。去调制后要将去调制后的相位映射到同相和正交分量上。将2个分量送入FFT处理器中, 选择最大值的位置。最后根据采样频率和FFT计算点数将最大值映射到实际的频率值。

频偏导致未调载波的相位以每符号2πΔfTs速率变化, 为了得到频偏估计值就必须去除数据调制的影响以得到未调载波。去调制可以分为DA和NDA两种方法, 其中DA方法一般用于有前导码字的系统, NDA方法一般采用模2π/M和M次方法。本文中采用了M次方法, 有

undefined。 (2)

式中, θn为调制数据相位;Vn为等效相位噪声。因为θn为2π/M的整数倍, 将r′n的相位模2π是不影响相位值运算, 可以得到:

φ′n=2πMΔfnTs+MVn。 (3)

将去调制之后的信号送入FFT模块中, 再通过搜索FFT输出信号的最大值所在位置即可推算出绝对频偏的大小。

通过FFT频偏估计算法得到频偏估计值并输入数控振荡器 (NCO) 之后, 就可以使用锁相环对载波相位进行精确跟踪以提高解调精度。为适应多种PSK调制体制需求, 采用一种通用锁相环对MPSK信号进行相差检测。该通用锁相环鉴相特征函数如下[3]:

undefined。 (4)

可见该锁相环鉴相过程仅需要与非门异或器、加法器和多电平限幅器非常适合FPGA实现。通过对调制样式的选择可以对多电平限幅器进行定义, 就可用于对BPSK、QPSK、8PSK等多种调制信号的载波同步。

2载波同步FPGA实现

通过介绍MPSK信号载波同步原理, 下面主要介绍如何通过FPGA来实现载波同步两大主要模块, 即FFT频率估计模块与通用锁相环模块。下面以QPSK信号为例进行分析。

2.1FFT测频模块实现

2.1.1 去调制模块

去调制可以使用2种方法来实现:① 通过反正弦求取每个符号的相位值, 反正弦函数可通过查表法计算。本方法运算量很小, 对硬件要求较低, 但是由于反正弦函数在不同的取值范围内, 变化速率变化很大, 如果查找表的内容采用线性计算方式, 那么查找表的尺寸将很大。如果要将查找表的尺寸压缩到可接受的程度, 那么其精确度又是不能接受的, 故具有一定局限性;② 直接采用复数乘法器进行M次方运算将I/Q数据去调制, 该方法运算量较大, 对硬件要求较高, 但精度较高。本文采用第2种方法, 复数乘法器可以自己搭建或采用Xilinx公司提供的复数乘法器IP核。

2.1.2 FFT处理模块

FFT处理模块是频率估计模块的核心部件, 本文中采用Xilinx公司提供的FFT v5.0 IP核来实现。考虑到硬件实际情况与测频精度要求, 确定FFT点数为4 096点, 输入输出位数均为16位。为减少对硬核乘法器 (DSP 48s) 的使用量, FFT IP核设置为Radix-2 Burst I/O 模式。为了方便后续对FFT输出信号的最大值所在位置进行搜索, 将“Output Ordering”选项设置为“Natural Order”。

2.1.3 搜索最大值与频率映射

在FFT运算完成之后, 就得到4 096组输出信号 (实部与虚部) 以及对应的INDEX值, 将输出信号进行取模运算之后得到FFT运算的绝对值, 再通过搜索4 096个绝对值中的最大值就可得到FFT谱线峰值所对应的INDEX值。记该最大INDEX值为N, FFT IP核的采样时钟为fs, 可以得到实际频偏计算公式为:

undefined

。 (5)

式中, 乘以1/4是因为针对QPSK信号做的去调制时引入4倍数关系。

2.2通用锁相环模块实现

锁相环一般都由鉴相器、环路滤波器与数控振荡器3部分组成。本文中数控振荡器分别为FFT测频模块与锁相环模块共用。主要介绍前2部分实现要点。

其中鉴相器部分实现结构在上面已详细介绍, 需要注意的是针对不同的调制体制选取不同电平幅度。环路滤波器的结构已有很多文章详细说明, 这里就不赘述。主要工作是设计合理的环路滤波器带宽, 本文中的FFT测频模块的频偏估计精度是与采样率挂钩的, 以采样率为4倍符号率为例, 则估计出的频偏为符号率的千分之一以内。以此为依据, 设计捕获能力为符号率的1/1000到1/500的锁相环即可满足要求。

2.3下变频模块与控制模块

要实现MPSK信号载波同步, 下变频模块是不可或缺的。通常下变频模块由混频器、CIC滤波器、半带滤波器和数控振荡器 (NCO) 等组成。在工程中既可使用FPGA来实现, 也可以使用专用DDC芯片, 如ISL5416、GC5016等来实现。这里采用FPGA来实现, DDC模块中各个组件的设计实现方法也有较多文章论述, 这里不再阐述。

由于FFT测频模块产生的频控字 (FW) 与通用锁相环模块产生的相控字 (PW) 均要控制下变频模块中的NCO, 如何控制好二者发送顺序就很关键。本文中是通过FFT测频模块检测到输入信号频偏值大于一定门限时就发送频控字, 小于门限时发送相控字。还以采样率为4倍符号率为例, 检测当输入信号频偏大于1/1000的符号率时就发送频控字, 直到检测到频偏小于1/1000的符号率时才发相控字。

3性能验证与分析

整个MPSK信号载波同步电路主要分为FFT频率估计、通用锁相环、命令字发送控制与数字下变频4个模块:使用ISE开发软件, 本电路在Xilinx公司的Virtex4系列芯片的XC4VLX200上实现, 对码速率Rb=2 Mbps的QPSK信号在信噪比SNR=5 dB的条件下测量载波频率, FFT模块估计的载波频率值平均误差为0.21%, 最大误差为0.35%, 具体数据如表1所示。经过测试表明, 在信噪比大于2 dB的情况下FFT模块可以正常工作, 当信噪比低于2 dB后FFT测频性能很快恶化。

本载波同步电路使用Virtex4系列XC4VLX200芯片进行综合, 使用了Slice 11020个占全部的6%, 硬核乘法器Dsp48s使用24个, RAMB16s资源使用30个占全部8%。整个电路可以工作到128.9 MHz。

4结束语

针对MPSK信号提出一种适合多体制的通用载波同步方案, 介绍其主要原理与关键模块设计方法, 并利用FPGA开发软件通过硬件加以实现。通过测试, 该载波同步电路具有适应较大频偏变化范围、较低信噪比、占用硬件资源较少等良好特性, 并已应用于某通用数字解调设备中。

摘要:为解决MPSK体制信号解调中可能存在的较大载波频偏, 介绍一种结合基于最大似然准则的FFT频偏估计与通用载波锁相环的多调制体制通用载波同步方案。依次分析了该方案的算法原理、结构特点与运算和硬件复杂度, 并说明该算法在FPGA上实现该方案的整体结构安排与关键技术要点。在Xilinx的XC4VLX200芯片上实现了该电路。结果表明该电路可完成对较大的频偏、较低的信噪比条件下MPSK信号载波同步工作, 具有较好的工程应用价值。

关键词:FPGA,载波同步,FFT,通用载波环

参考文献

[1]RIFE D, BOORSTYNR.Single-tone Parameter Estimationfrom Discrete-time Observations[J].IEEE Trans on Information Theory, 1974, 20 (5) :591-598.

[2]叶淦华, 张邦宁.基于FFT的校频技术及其FPGA实现[J].电子工程师, 2007, 33 (2) :31-34.

载波同步 篇6

同步技术作为通信系统的核心技术之一,在通信系统中占有至关重要的作用,直接影响着通信系统的性能。载波同步不仅是同步的一个重要分支,而且在很大程度上决定了相干解调系统的解调性能。载波同步方法有两类:一类是闭环载波同步法,另一类是开环载波同步法。其中,闭环载波同步法中的Costas环结构由于具有一定的频移抑制能力[1],且是跟踪低信噪比、抑制载波信号的最佳装置[2],因此在载波同步中得到了广泛应用。

目前国内外Costas环载波同步系统研究基本针对特定的码速率进行载波同步处理[3,4]。由于Costas环参数值预先固定在程序内部,而一组Costas环参数值只能对应一种码速率,当输入数据的码速率变化时,则原同步参数不能实现载波同步,只能通过切换固有的码速率档位来适应有限的码速率变化,不能根据应用环境对载波同步的参数值进行实时的调整。在顶级接收机或通信仪器应用中,保密通信系统达到载波同步后,要求频率的波动范围和误码率尽量小,则Costas环的参数必须精确;跳频通信系统要求Costas环在最短的时间内建立载波同步,则允许一定的频率偏移和误码率。为了满足不同通信系统的要求,要求Costas环的各部分参数实时可调,而现有的指定码速率的Costas环载波同步结构只能满足一种通信系统。

文献[5]和文献[6]等对可变码速率进行了研究,但针对的是星间通信系统和光通信系统。本文基于上述几点提出了一种针对顶级接收机通信系统的Costas环载波同步结构,该结构可对参数进行实时修改、适用可变码速率。

2 整体结构设计

2.1 特定码速率Costas环载波同步原理

传统的特定码速率Costas环载波同步结构主要由下面几个部分组成:压控振荡器(NCO)、乘法器、低通滤波器(LPF)、鉴相器(PD)、环路滤波器(LF)。

其工作原理如下:中频输入信号经过A/D采样后,将接收到的模拟信号转换为数字信号,即Costas环的输入信号;输入信号进入Costas环后,分为I、Q两条支路,分别与NCO产生的正交载波相乘得到混频数据;混频数据经过LPF后得到、两路信号;将输出的、信号输入到PD模块进行相位误差计算,获得相位误差值;然后经LF模块后,得到误差控制信号(频率控制字),控制NCO调整输出频率,逐渐缩小本地载波与调制载波之间的相位差,最终完成载波同步[7],此时输出信号、即为解调信号。

2.2 可变码速率载波同步结构

为了适应码速率实时可调,本文对传统的特定码速率Costas环载波同步结构进行改进,得到了码速率实时可变的载波同步结构,其结构图如图1所示:

图中虚线框内为FPGA处理部分,同时也是传统的Costas环载波同步结构。

系统工作过程如下:通过外部控制器设置输入信号的相关值;外部控制器根据输入端输入值计算对应的参数值,然后沿控制信号流方向将参数值通过接口通道下发给内部控制器——FPGA;然后内部控制器对各部分参数进行修改,以使环路完成载波同步,其中数据流在内部控制器的处理过程与传统的指定码速率Costas环载波同步结构的处理过程一致;当同步系统输入信号的码速率改变时,Costas环中的参数就不能满足同步要求,因此必须对环路参数进行修改(例如:低通滤波器滤波系数、环路滤波器参数等),此时外部控制器必须根据实际需要重新计算Costas环中的参数,然后将计算获得的参数值重新下发给内部处理器,内部控制器以系数重载的方式修改载波同步结构中对应的参数值,实现可变码速率条件下的载波同步。

3 Costas环载波同步相关参数设计

3.1 Costas环载波同步原理

根据图1 Costas环载波同步原理框图,设输入信号表达式为:

式中m(t)表示基带信号;ωc为载波的中心频率;θ为初始相位。这样,输入数据与NCO产生的两路正交信号分别相乘得到混频数据,然后经过低通滤波器、鉴相器处理后,同相、正交两个环路的误差信号分别为:

两个误差信号相乘后,输出为:

式中KId、KQd为鉴相因子。相位误差信号进入环路滤波器进行滤波处理,此时环路滤波器的作用相当于低通滤波器,其截止频率很低,只允许近似直流的信号通过。

数字环路滤波器的输出信号即为NCO的频率控制字,通过实时调整NCO的频率控制字,控制NCO输出本地载波信号的频率和相位,使得相位误差信号Ud(t)尽可能小。由式(4)可知,理想情况下,当输入信号和本地信号的瞬时相位差Ud(t)=0时,即实现本地载波信号和输入信号的载波同频同相。

3.2 NCO设计

DDS(直接数字频率合成)是一种新的频率合成技术,具有频率分辨率高、频率转换速度快且相位连续、输出信号精度高等优点,因此本地NCO采用DDS原理设计[7]。

具体的实现采用Vivado13.3软件中自带的IP Core进行设计。外部控制器中的频率控制字模块可以对输出频率进行设置。当输出频率改变时,外部控制器会根据(5)式将计算得到的频率控制字下发给内部控制器,内部控制器接收到更新指令后更新频率控制字。

3.3 带宽可变的低通滤波器设计

带宽可变的低通滤波器是通带带宽可改变的低通滤波器,其主要功能是滤除高频分量和带外噪声,最后得到如式(2)和式(3)的结果。具体实现采用集成开发环境Vivado13.3自带的FIR滤波器IP Core。滤波器系数由外部控制器的系数生成器生成,并由通道传给内部控制器,然后通过系数重载导入IP核,可变带宽低通滤波器系数的数据位宽为16位。可变带宽低通滤波器系数受外部控制器控制,可变带宽低通滤波器系数可以由外部控制器实时调整。输入信号的码速率、衰减因子和低通滤波器的阶数都对Costas环的性能有影响,因此外部控制器的系数生成器有三个输入选项。当码速率或者衰减因子增大时,输入数据的有效带宽增加,则低通滤波器的通带增加;当滤波器阶数增加时,系统占用的硬件资源量增加,滤波器输出的结果就越精确,反之亦反。

接收机接收的输入信号的码速率变化时,需要根据实际情况对外部控制器中系数生成器的三个输入端的数据进行实时设置,能获得带宽可变的低通滤波器参数值;然后将得到的参数值下发给内部控制器;最后内部控制器以系数重载的方式将接收到的系数值更新到FPGA的IP Core中。因此对可变的码速率,可实时修改外部控制器的输入数据值,动态调整Costas环的参数值。通过外部控制器算法获得不同的滤波器系数值,达到实时调整低通滤波器滤波带宽目的,从而实现可变码速率的载波同步。

3.4 环路滤波器设计

与经典的环路滤波器结构相比,本文设计的环路滤波器的参数受外部控制器的控制,能被实时修改。Costas环电路中的环路滤波器是一个线性低通滤波器,它不仅可以滤除瞬时相位误差信号中的高频成分,而且对环路参数的调整起决定性作用。另外,它可为环路提供一个短期记忆,能保证锁相环在系统由于瞬时噪声失锁时迅速重新捕获信号[8]。

二阶数字环路滤波器在锁定时稳态相差为0,同步带无限大,实现难度适宜[9,10],所以大部分Costas环中采用二阶数字环路滤波器。

1C、C2表示积分支路和比例支路的系数,为环路滤波器输出的频率控制住,用于调整本地NCO的相位。该二阶环路滤波器在Z域的传递函数为:

K0为本地NCO增益,Kd为鉴相增益;ξ为锁相环的阻尼系数,通常取ξ=0.707;Xn为环路固有角频率,且随输入信号码速率的变化而变化。T为环路滤波器采样时间间隔,即相位调整间隔,其值为fs的倒数。

KL为环路的调节因子,bR为输入信号码速率。当输入信号码速率变化时,Xn也会发生变化,外部控制器会根据式(7)、(8)和(9)重新计算环路滤波器参数值1C和C2,然后将参数值下发到内部控制器,以便内部控制器更新参数值。

4 仿真结果及分析

本文选取常用的QPSK信号作为Costas环的输入信号;输入信号经过Costas环载波同步结构后,将需要的数据导出,然后在MATLAB中画出仿真图,便于分析。

4.1 载波同步性能分析

输入数据是在MATLAB仿真生成,并保存为文本文件.其中码速率为4Mbit/s,频率偏差为50kHz,信噪比为20dB。

1. 星座图

图2中左图表示,输入信号未达到载波同步时,其星座图呈一个离散的圆;经过Costas环载波同步后,将FPGA产生的数据导入到MATLAB中,得到输入信号的星座图近似收敛为4个点,如图2中右图所示。由于没有进行位同步,因此星座图不能完全收敛为4个点。

2.眼图

图3中左、右两图分别表示载波同步前后输入信号的眼图,左图表示未经过载波同步输入信号的眼图,此时输入信号未经载波同步,眼图很离散,看不到清晰的“眼睛”;右图表示经过载波同步后将FPGA数据导出得到的眼图,经过Costas环载波同步后,眼图很收敛,“眼睛”张开得较大,且眼图很端正。

由图2、图3的仿真图可知输入信号经过Costas环后,完成了载波同步,因此证明本文的Costas环载波同步结构能够实现载波同步。

4.2 载波同步影响因素

4.2.1 频率偏移

图4中左、右两图表示在输入数据、信噪比、衰减因子等均相同的条件下,将无频偏和频偏为50kHz的输入信号经过Costas环载波同步结构后产生的相位误差仿真图。由于左图输入数据无频偏,因此曲线一直处于收敛状态;右图表示频偏为50kHz输入数据的收敛曲线,输入数据的收敛时间约为1.1ms。为了便于观察和试验,因此选取适当的参数,使频偏在5kHz的频率范围内波动,所以只要环路频率稳定的在5kHz范围内波动,输入数据即完成了载波同步。

4.2.2 滤波器阶数

综合考虑各种因素及反复试验的结果,取输入数据码速率为4Mbit/s、载波频偏为10kHz、信噪比为20dB。

图5中左、右两图分别表示不同的低通滤波器阶数,输入数据达到载波同步的收敛曲线。图5中左图的低通滤波器阶数为50,达到载波同步的时间为0.9ms;右图的低通滤波器阶数为30,达到载波同步的时间为1.2ms,因此输入数据达到载波同步的时间与低通滤波器阶数成反比。

4.2.3 码速率

输入信号是用MATLAB产生的,其参数配置如表1所示:

不同码速率的输入数据,经过Costas环载波同步结构后,得到的ModelSim仿真图,如图6所示:

图6表示输入信号经过Costas环中环路滤波器输出的频偏曲线,其中首次输入信号的码速率为2Mbit/s,环路锁定的时间为0.9ms,如图a所示;当输入信号的码速率变为4Mbit/s时,环路重新锁定的时间为1.7ms,如图b图所示;当输入信号的码速率为8Mbit/s时,环路重新锁定的时间为3.9ms,如图c图所示。通过图6的分析可知,载波同步中环路锁定时间随着码速率的增大而增大。码速率越大,带宽越大,相同滤波器阶数的情况下,带宽越大滤波器性能越差,因此载波同步收敛时间越长。

5 结束语

通过本文的理论推导和仿真分析,验证了本文设计方案的正确性和可行性。该方案适用于可变码速率的Costas环载波同步系统,使用FPGA重载技术及接口技术对环路参数进行实时修改,消除输入信号的载波频偏。与传统的Costas环载波同步系统相比,改进后的结构不仅能够满足特定环境需求,而且能根据实际需要适应复杂多变的环境。若能克服参数运算时间及参数下发时间的限制,该系统的整体性能将会得到进一步提升。

参考文献

[1] Mengaliu,D'Andrea A N.Synchronization techniques for digital receivers[M].New York:Plenum,1997:205-228.

[2] Riter S.An optimum phase reference detector for fully modulated phase shift keyed signals[J].IEEE AES-5,1969,4(7),627-631.

[3]王渊,黄颖基于反正切相位检测的Costas环分析及FPGA实现.通信技术.2010.43.(08):124-126.

[4]付连庆,杨力生,王韬,等.改进的数字Costas环设计与实现计算机工程.2011,37(17):230-232.

[5]刘国栋,吴重庆,王甫,等.速率可变差分相移键控非归零码信号的全光时钟提取.中国激光,2014,(11).

[6]华杰.基于编队飞行小卫星系统的变码速率星间通信的研究合肥:中国科学技术大学,2014.

[7]聂伟,张永杰,董少杰.通用载波同步系统设计与实现[J].实验技术与管理.2012.29(4):62-67.

[8] Lindsey W.Phase noise effects on space shuttle communications link performance.IEEE Trans Commun,1978,26(11):1532-1541.

[9] Chung B Y.Performance analysis of an all digital BPSK direct sequence spread spectrum IF receiver architecture[J].IEEE Journal on Selected Aeas in Communications,1993,11(7):1096-1107.

载波同步 篇7

突发扩频通信技术因其具有很强的抗干扰和抗截获能力,近年来成为军事领域研究的热点。其本质是在突发通信技术的基础上,对收发信号分别进行扩频和解扩,以进一步增加信号的保密性。扩频前的一帧典型突发信号结构为一段导频序列加上一段携带信息的用户数据[1]。

为了实现对一帧突发信号的正确解调,要在解扩出符号数据后,在规定的导频序列长度内通过有限次调整完成载波同步,否则将造成后续用户数据的丢失,导致解调失败[2]。FLL(锁频环)+PLL(锁相环)是一种常用的,可以校正大频偏的载波同步算法,但它常用于对同步时间要求不高的连续通信系统[3,4],用于本文所涉及的突发通信系统时,经过仿真发现所需要的环路调整次数大于导频序列长度,收敛速度不够快,无法满足指标,所以对该算法进行了一些改进,通过先使用一部分的导频序列进行FFT校频,快速减小频偏,然后用FLL将频偏缩小到10 Hz以内,最后用PLL来精确锁定。

1快速同步算法设计

在发射端设计的一帧发射信号为168 b全0导频序列+132 b用户数据共300 b,如图1所示。

经过双极性变换导频序列变为168 b全1数据,用户数据变为由1,-1组成的数据,经过卷积编码成I,Q两路信号,各自经过差分编码后用不同的1 023位I,Q两路Gold码扩频,然后以QPSK方式分别调制余弦和正弦载波,两路数据组合后发射。在接收端,使用相应的信号捕获算法,可以得到信号的Gold码初始相位和一个精度较差的多普勒频偏搜索值,这一步骤的结果是可以解扩信号,但是信号还有(-5,5) kHz的频差,而且这一过程要消耗40个bit的导频符号。也就是说在剩余的128 b导频长度内必须通过相应算法,消除5 kHz频差,完成载波精确同步。

在实际的接收机方案中使用的方法是用I路Gold码,简称Gold_I去分别解扩同相(I路)和正交(Q路)数据,用得到的两路带有频偏的符号数据去调整频偏,而Q路Gold码,简称Gold_Q不参与频率调整,而是直接解扩Q路数据。当频偏消除后,得到I路和Q路经过差分译码、卷积译码和一系列操作之后就可以得到原始数据。

经过数学模型推导,在码片对齐后,得到用Gold_I分别解扩I路和Q路后得到的用于校正频偏的两路数据为:

I(k+p)=C1cos(2πΔfTbp+φ1),p=0,1,2,… (1)

Q(k+p)=C2sin(2πΔfTbp+φ2),p=0,1,2,… (2)

式中:C1,C2,φ1,φ2为和采样速率、解扩数据起点位置、扩频码长度有关的常数;Δf为经过扫频和信号捕获后的剩余频差,这里Δf的取值范围为(-5,5) kHz;Tb=1/Rb为扩频前的符号周期,Rb为符号速率,本系统中Rb=10 Kb/s;p为信号捕获后依次解扩出来的带有频偏的符号数据的次序号。

整个快速载波同步算法流程如下:先进行FFT校频,然后FLL缩小频差,最后PLL精确锁定,分三次分步消除频偏。

1.1 FFT校频

在算法中取I(k+p)的前16个点存储在寄存器中,然后做FFT,那么这16个点相当于在一个已知频率的余弦波上等间隔采样,且采样周期fs=1/Tb=Rb=10 Kb/s,根据FFT理论[5,6],在前9个频点中,设得到的频谱能量最大点为第k点,则对应的频率f^=fs/Ν×(k-1)即为估计频率,其分辨率为10K/16=625 Hz,通过设定变量u5=I(k)Q(k-1)-I(k-1)Q(k)=C3sin(2πΔf/10K)(C3为大于0的一个常数),来确定估计出来的频率的正负,当u5<0时,-5 kHz<Δf<0;当u5>0时,0<Δf<5 kHz。

1.2 FLL(锁频环)

FLL通常采用自动频率跟踪环(AFC)来实现载波频率的跟踪,AFC环的结构如文献[7]中所示。

解扩得到的两路正交信号的点积Dot(k)和叉积Cross(k)分别为:

Dot(k)=Ι(k-1)Ι(k)+Q(k-1)Q(k)(3)Cross(k)=Ι(k-1)Q(k)-Ι(k)Q(k-1)(4)

常用的消除符号模糊的CPAFC方法其误差函数为Ud(k)=Cross(k)×sign(Dot(k)),其鉴频特性是非线性的,且鉴频范围为(-Rb/4,Rb/4),将其改进,点积和叉积之间存在如下关系:

Cross(k)Dot(k)=tan(2πΔfΤb)(5)

利用四象限反正切算法,改进误差函数:

Ud(k)=AΤAΝ2(Cross(k)Dot(k))/(2πΤb)=Δf(6)

这就解决了CPAFC的鉴频非线性问题,且将鉴频范围扩大了一倍至(-Rb/2,Rb/2)[8]。

1.3 PLL(锁相环)

Costas环[9]是一种常用的锁相环,它对载波调制数据不敏感,在无线电接收机中得到了普遍的应用,本方案中采用的Costas环鉴相算法为:

θk=sign(Ι(k)×Q(k))(7)

而数字环路滤波器采用二阶环,这是因为如果其直流增益为无穷大而频偏为常数的情况下,二阶环可以实现稳态相位误差和频率误差[10]。其结构如图1所示。

图1中,Ud为输入的鉴频或者鉴相误差函数;C1,C2是环路调整参数,实际应用中需要反复调整至环路性能最佳;Uc为输出的频率或者相位控制字,控制NCO调整频率或者相位。

2算法仿真结果

系统仿真条件假设为:输入中频信号,符号速率为10 Kb/s,用2路不同的1 023位Gold码扩频,扩频后码片速率为10.23 Mb/s,采样速率为8倍码片速率,经过扫频和信号捕获后剩余多普勒频率为4 600 Hz,系统输入信噪比为-16 dB。

FLL频率跟踪曲线如图2所示。

由仿真结果可以看到,FLL工作的起始频率是4 375 Hz,这是由于FFT校频后,算法找到了625 Hz整数倍且离4 600 Hz最近的一根谱线,之后约43次FLL环路校正后达到了离4 600 Hz大约10 Hz处,此时FLL停止,PLL启动锁定剩余频差和相差。加上做FFT的16个符号,大约使用了59个导频符号。这与解调出来的I路和Q路中前约59个符号是错误相符合。之后解调出来的都是正确的导频符号1。而一帧信号变为260 b是由于前面的40 b被用于信号捕获和多普勒频率扫描。解调出用户数据的星座如图3所示,图中给出了锁定后去除导频符号,恢复出来用户数据的情况,可以看到载波恢复效果很好。解调出的一帧I路和Q路数据如图4所示。

3结论

本文采用了FFT级联FLL和PLL的方法来实现突发直扩接收机中的载波快速同步。仿真结果表明该方法能在低信噪比下,实现载波同步速度较快,结构简单,能纠正的载波频偏范围大,适用于突发通信系统。

摘要:在突发直接序列扩频接收机中,当捕获信号后,需要在较短的导频符号长度内快速实现载波同步,结合所涉及的项目,为了达到这一目的,采用了一种FFT校频+锁频环+数字Costas环的快速载波同步方法;并在项目指标所要求的信噪比下,在Matlab上做了算法仿真实验;仿真结果表明该方法可以在规定导频序列长度内快速实现载波同步,实现用户数据的正确解调;该方法具有可纠正频偏范围大,实现同步速度快,方法结构简单,能在低信噪比环境下稳定工作的特点。

关键词:突发通信,FFT,FLL,数字Costas环,快速载波同步

参考文献

[1]管云峰.突发CDMA与突发OFDM接收机同步算法研究与实现[D].杭州:浙江大学,2003.

[2]王伟.突发信号的载波同步技术研究[D].西安:西安电子科技大学,2011.

[3]倪光华.高动态环境下GPS C/A码信号的捕获与跟踪算法研究[D].西安:西安电子科技大学,2008.

[4]黄福林.高动态环境下GPS跟踪算法研究与实现[D].西安:西安电子科技大学,2008.

[5]方勇.数字信号处理:原理与实践[M].2版.北京:清华大学出版社,2010.

[6]邹永,郑林华,刘少阳.高动态短时突发通信系统的载波同步技术[J].现代电子技术,2007,30(1):12-13.

[7]NATALI F D.AFC tracking algorithms[J].IEEE Tran-sactions on Communications,1984,COM-32:935-947.

[8]王兰芳,吴长奇,高秀英.基于FLL与PLL级联的高动态载波跟踪技术[J].电子测量技术,2009(3):25-27.

[9]COSTAS J P.Synchronous communications[J].DigitalObject Identifier,1956,44(12):1713-1718.

上一篇:大型桥梁施工下一篇:神经介入疗法